EN

基本情報

研究

社会活動

その他の活動

廣瀬 哲也

Hirose Tetsuya

工学研究科 電気電子情報通信工学専攻,教授

学歴

  • 2002年04月 ~ 2005年11月,大阪大学,大学院工学研究科,(専攻)電子情報エネルギー工学専攻
  • 2000年04月 ~ 2002年03月,大阪大学,大学院工学研究科,(専攻)電子情報エネルギー工学専攻
  • 1996年04月 ~ 2000年03月,大阪大学,工学部,電子情報エネルギー工学科

経歴

  • 2019年03月 ~ 継続中,大阪大学,大学院工学研究科,教授
  • 2009年10月 ~ 2019年02月,神戸大学,大学院工学研究科 電気電子工学専攻,准教授
  • 2008年04月 ~ 2009年09月,神戸大学,大学院工学研究科 電気電子工学専攻,講師
  • 2007年04月 ~ 2008年03月,北海道大学,大学院情報科学研究科 情報エレクトロニクス専攻,助教
  • 2004年04月 ~ 2007年03月,北海道大学,大学院情報科学研究科 情報エレクトロニクス専攻,助手

研究内容・専門分野

  • 情報通信,計算機システム
  • ものづくり技術(機械・電気電子・化学工学),電子デバイス、電子機器

所属学会

  • IEICE
  • JSAP
  • IEEE

論文

  • Random Undersampling Wireless EEG Measurement Device using a Small TEG,Takuya Miyata,Daisuke Kanemoto,Tetsuya Hirose,2023 IEEE International Symposium on Circuits and Systems (ISCAS),IEEE,2023年05月21日,研究論文(国際会議プロシーディングス)
  • A Programmable Differential Bandgap Reference for Ultra-Low-Power IoT Edge Node Devices,Yoshinori Itotagawa,Koma Atsumi,Hikaru Sebe,Daisuke Kanemoto,Tetsuya Hirose,2023 IEEE International Symposium on Circuits and Systems (ISCAS),IEEE,2023年05月21日,研究論文(国際会議プロシーディングス)
  • EEG Measurements with Compressed Sensing Utilizing EEG Signals as the Basis Matrix,Daisuke Kanemoto,Tetsuya Hirose,2023 IEEE International Symposium on Circuits and Systems (ISCAS),IEEE,2023年05月21日,研究論文(国際会議プロシーディングス)
  • 13.3 A Triturated Sensing System,Noriyuki Miura,Kotaro Naruse,Jun Shiomi,Yoshihiro Midoh,Tetsuya Hirose,Takaaki Okidono,Takuji Miki,Makoto Nagata,2023 IEEE International Solid- State Circuits Conference (ISSCC),IEEE,2023年02月19日,研究論文(国際会議プロシーディングス)
  • Fully-integrated switched-capacitor voltage boost converter with digital maximum power point tracking for low-voltage energy harvesting,Kaori Matsumoto,Ryuki Ikeda,Hikaru Sebe,Nobutaka Kuroki,Masahiro Numa,Daisuke Kanemoto,Tetsuya Hirose,Japanese Journal of Applied Physics,IOP Publishing,Vol. 62,No. SC,p. SC1071-SC1071,2023年02月17日,研究論文(学術雑誌)
  • Switched-capacitor voltage buck converter with variable step-down and switching frequency controllers for low-power and high-efficiency IoT devices,Ryo Matsuzuka,Shuto Kanzaki,Kaori Matsumoto,Nobutaka Kuroki,Masahiro Numa,Daisuke Kanemoto,Tetsuya Hirose,Japanese Journal of Applied Physics,IOP Publishing,2023年02月08日,研究論文(学術雑誌)
  • Compressed Sensing EEG Measurement Technique with Normally Distributed Sampling Series,Yuki OKABE,Daisuke KANEMOTO,Osamu MAIDA,Tetsuya HIROSE,IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences,Institute of Electronics, Information and Communications Engineers (IEICE),Vol. E105.A,No. 10,p. 1429-1433,2022年10月01日,研究論文(学術雑誌)
  • Fully Integrated Switched-Capacitor Buck Converter with Variable Ratio and Frequency Controllers for Ultra-Low Power LSI Systems,R. Matsuzuka,S. Kanzaki,K. Matsumoto,N. Kuroki,M. Numa,D. Kanemoto,T. Hirose,Extended abstract of the 2022 International Conference on Solid State Devices and Materials (SSDM 2022),Vol. K-9-06,p. 798-799,2022年09月,研究論文(研究会,シンポジウム資料等)
  • Switched-Capacitor Voltage Boost Converter with Digital Maximum Power Point Tracking for Low-Voltage Energy Harvesting,K. Matsumoto,R. Ikeda,H. Sebe,N. Kuroki,M. Numa,D. Kanemoto,T. Hirose,Extended abstract of the 2022 International Conference on Solid State Devices and Materials (SSDM 2022),Vol. K-9-07,p. 800-801,2022年09月,研究論文(国際会議プロシーディングス)
  • Characterization of deep interface states in SiO2/B-doped diamond using the transient photocapacitance method,Osamu Maida,Daiskuke Kanemoto,Tetsuya Hirose,Thin Solid Films,Elsevier BV,Vol. 741,p. 139026-139026,2022年01月,研究論文(学術雑誌)
  • Automated Fish Bone Detection in X‐Ray Images with Convolutional Neural Network and Synthetic Image Generation,Kazuya Urazoe,Nobutaka Kuroki,Akihiro Maenaka,Hironori Tsutsumi,Mizuki Iwabuchi,Kosuke Fuchuya,Tetsuya Hirose,Masahiro Numa,IEEJ Transactions on Electrical and Electronic Engineering,Wiley,Vol. 16,No. 11,p. 1510-1517,2021年11月,研究論文(学術雑誌)
  • A self-bias NAND gate and its application to non-overlapping clock generator for extremely low-voltage CMOS LSIs,Hikaru Sebe,Kaori Matsumoto,Ryo Matsuzuka,Osamu Maida,Daisuke Kanemoto,Tetsuya Hirose,Japanese Journal of Applied Physics,IOP Publishing,Vol. 60,No. SB,p. SBBL06-SBBL06,2021年05月01日,研究論文(学術雑誌)
  • A 35-mV supply ring oscillator consisting of stacked body bias inverters for extremely low-voltage LSIs,Masaya Nishi,Kaori Matsumoto,Nobutaka Kuroki,Masahiro Numa,Hikaru Sebe,Ryo Matsuzuka,Osamu Maida,Daisuke Kanemoto,Tetsuya Hirose,IEICE Electronics Express,Institute of Electronics, Information and Communications Engineers (IEICE),Vol. 18,No. 6,p. 20210065-20210065,2021年03月25日,研究論文(学術雑誌)
  • Multi-Category Image Super-Resolution with Convolutional Neural Network and Multi-Task Learning,Kazuya URAZOE,Nobutaka KUROKI,Yu KATO,Shinya OHTANI,Tetsuya HIROSE,Masahiro NUMA,IEICE Transactions on Information and Systems,Institute of Electronics, Information and Communications Engineers (IEICE),Vol. E104.D,No. 1,p. 183-193,2021年01月01日,研究論文(学術雑誌)
  • Combination of Convolutional Neural Network Architecture and its Learning Method for <scp>Rotation‐Invariant</scp> Handwritten Digit Recognition,Kazuya Urazoe,Nobutaka Kuroki,Tetsuya Hirose,Masahiro Numa,IEEJ Transactions on Electrical and Electronic Engineering,Wiley,Vol. 16,No. 1,p. 161-163,2021年01月,研究論文(学術雑誌)
  • Image Quality Improvement for Capsule Endoscopy Based on Compressed Sensing with K-SVD Dictionary Learning,Yuuki HARADA,Daisuke KANEMOTO,Takahiro INOUE,Osamu MAIDA,Tetsuya HIROSE,IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences,Institute of Electronics, Information and Communications Engineers (IEICE),Vol. E105.A,No. 4,p. 743-747,2021年,研究論文(学術雑誌)
  • A 115× Conversion-Ratio Thermoelectric Energy-Harvesting Battery Charger for the Internet of Things,Ming-Jie Chung,Tetsuya Hirose,Takahito Ono,Po-Hung Chen,IEEE Transactions on Circuits and Systems I: Regular Papers,Institute of Electrical and Electronics Engineers (IEEE),Vol. 67,No. 11,p. 4110-4121,2020年11月,研究論文(学術雑誌)
  • Design of Switched-Capacitor Voltage Boost Converter for Low-Voltage and Low-Power Energy Harvesting Systems,Tetsuya HIROSE,Yuichiro NAKAZAWA,IEICE Transactions on Electronics,Institute of Electronics, Information and Communications Engineers (IEICE),Vol. E103.C,No. 10,p. 446-457,2020年10月01日,研究論文(学術雑誌)
  • A Self-Bias NAND Gate and its Application to Non-Overlapping Clock Generator for Extremely Low-Voltage CMOS LSIs,H. Sebe,K. Matsumoto,R. Matsuzuka,O. Maida,D. Kanemoto,T. Hirose,Extended abstract of the 2020 International Conference on Solid State Devices and Materials (SSDM 2020),Vol. A-7-02,p. 65-66,2020年09月,研究論文(国際会議プロシーディングス)
  • Detecting tampered region in video using LSTM and U-Net,Kunihiko Taya,Nobutaka Kuroki,Naoto Takeda,Tetsuya Hirose,Masahiro Numa,ELECTRONICS AND COMMUNICATIONS IN JAPAN,WILEY,2020年08月,研究論文(学術雑誌)
  • Improvement of Luminance Isotropy for Convolutional Neural Networks-Based Image Super-Resolution,Kazuya URAZOE,Nobutaka KUROKI,Yu KATO,Shinya OHTANI,Tetsuya HIROSE,Masahiro NUMA,IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences,Institute of Electronics, Information and Communications Engineers (IEICE),Vol. E103.A,No. 7,p. 955-958,2020年07月01日,研究論文(学術雑誌)
  • Detecting tampered regions in JPEG images via CNN,Kunihiko Taya,Nobutaka Kuroki,Naoto Takeda,Tetsuya Hirose,Masahiro Numa,2020 18th IEEE International New Circuits and Systems Conference (NEWCAS),IEEE,2020年06月,研究論文(国際会議プロシーディングス)
  • A 34-mV Startup Ring Oscillator Using Stacked Body Bias Inverters for Extremely Low-Voltage Thermoelectric Energy Harvesting,Masaya Nishi,Kaori Matsumoto,Nobutaka Kuroki,Masahiro Numa,Hikaru Sebe,Ryo Matsuzuka,Osamu Maida,Daisuke Kanemoto,Tetsuya Hirose,2020 18th IEEE International New Circuits and Systems Conference (NEWCAS),IEEE,2020年06月,研究論文(国際会議プロシーディングス)
  • An 11.8 nA ultra-low power active diode using a hysteresis common gate comparator for low-power energy harvesting systems,Kaori Matsumoto,Hiroki Asano,Yuichiro Nakazawa,Nobutaka Kuroki,Masahiro Numa,Osamu Maida,Daisuke Kanemoto,Tetsuya Hirose,IEICE ELECTRONICS EXPRESS,IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG,Vol. 17,No. 11,2020年06月,研究論文(学術雑誌)
  • Sub-50-mV Charge Pump and its Driver for Extremely Low-Voltage Thermal Energy Harvesting,H. Sebe,D. Kanemoto,T. Hirose,Proceedings of the 2022 IEEE International Symposium on Circuits and Systems (ISCAS 2022),p. 1-5,2020年05月,研究論文(国際会議プロシーディングス)
  • A 42 mV startup ring oscillator using gain-enhanced self-bias inverters for extremely low voltage energy harvesting,Ryo Matsuzuka,Tatsuya Terada,Kaori Matsumoto,Masatoshi Kitamura,Tetsuya Hirose,JAPANESE JOURNAL OF APPLIED PHYSICS,IOP PUBLISHING LTD,Vol. 59,No. SG,p. SGGL01-SGGL01,2020年04月,研究論文(学術雑誌)
  • Rotation invariant-digits recognition with single convolutional neural networks,K. Urazoe,N. Kuroki,T. Hirose,M. Numa,Proceedings of 2020 RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing (NCSP 2020),p. 618-621,2020年03月,研究論文(国際会議プロシーディングス)
  • CNN-based segmentation and recognition of traffic signs with parameter regions,T. Haraguchi,N. Kuroki,T. Hirose,M. Numa,Proceedings of 2020 RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing (NCSP 2020),p. 377-380,2020年03月,研究論文(国際会議プロシーディングス)
  • 複数の伝搬経路を持つ畳み込みニューラルネットワークによる超解像,浦添 和哉,黒木 修隆,加藤 裕,大谷 真也,廣瀬 哲也,沼 昌宏,電気学会論文誌C(電子・情報・システム部門誌),一般社団法人 電気学会,Vol. 140,No. 6,p. 638-650,2020年,研究論文(学術雑誌)
  • An IoT Sensor Node SoC with Dynamic Power Scheduling for Sustainable Operation in Energy Harvesting Environment,Yuji Yano,Seiya Yoshida,Shintaro Izumi,Hiroshi Kawaguchi,Tetsuya Hirose,Masaya Miyahara,Teruki Someya,Kenichi Okada,Ippei Akita,Yoshihiko Kurui,Hideyuki Tomizawa,Masahiko Yoshimoto,2019 IEEE Asian Solid-State Circuits Conference (A-SSCC),IEEE,p. 267-270,2019年11月,研究論文(国際会議プロシーディングス)
  • An error diagnosis technique using ZDD to extract error location sets,H. Nakano,S. Ohmura,N. Kuroki,T. Hirose,M. Numa,The 22nd Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI 2019),p. 262-267,2019年10月,研究論文(国際会議プロシーディングス)
  • Incremental approaches for locating design errors: averaging epi-groups and generating additional input patterns,S. Ohmura,H. Nakano,N. Kuroki,T. Hirose,M. Numa,The 22nd Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI 2019),p. 244-249,2019年10月,研究論文(国際会議プロシーディングス)
  • A global placement method for RECON spare cells in ECO-friendly design style,J. Akashi,S. Hojo,N. Kuroki,T. Hirose,M. Numa,The 22nd Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI 2019),p. 158-163,2019年10月,研究論文(国際会議プロシーディングス)
  • A 4ch CNN hardware architecture for image super-resolution,K. Suzuki,K. Mori,N. Kuroki,T. Hirose,M. Numa,The 22nd Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI 2019),p. 46-50,2019年10月,研究論文(国際会議プロシーディングス)
  • A 42-mV startup ring oscillator using self-bias inverters for extremely low voltage energy harvesting,R. Matsuzuka,T. Terada,K. Matsumoto,M. Kitamura,T. Hirose,Extended abstract of the 2019 International Conference on Solid State Devices and Materials (SSDM 2019),Vol. M-5-03,2019年09月,研究論文(国際会議プロシーディングス)
  • Sub-0.1V Input, Low-Voltage CMOS Driver Circuit for Multi-Stage Switched Capacitor Voltage Boost Converter,Masaya Nishi,Yuichiro Nakazawa,Kaori Matsumoto,Nobutaka Kuroki,Masahiro Numa,Ryo Matsuzuka,Osamu Maida,Daisuke Kanemoto,Tetsuya Hirose,2019 26TH IEEE INTERNATIONAL CONFERENCE ON ELECTRONICS, CIRCUITS AND SYSTEMS (ICECS),IEEE,p. 530-533,2019年,研究論文(国際会議プロシーディングス)
  • An Area-Efficient Resistor-less On-Chip Frequency Reference for Ultra-Low Power Real-Time Clock Application,Hiroki Asano,Tetsuya Hirose,Toshihiro Ozaki,Nobutaka Kuroki,Masahiro Numa,IEEJ TRANSACTIONS ON ELECTRICAL AND ELECTRONIC ENGINEERING,WILEY,Vol. 13,No. 11,p. 1633-1641,2018年11月,研究論文(学術雑誌)
  • Analytical Study of Multi-stage Switched-Capacitor Voltage Boost Converter for Ultra-low Voltage Energy Harvesting,Yuichiro Nakazawa,Tetsuya Hirose,Toshihiro Ozaki,Yuto Tsuji,Shuto Kanzaki,Hiroki Asano,Nobutaka Kuroki,Masahiro Numa,Proceedings - IEEE International Symposium on Circuits and Systems,Vol. 2018-May,2018年04月,研究論文(国際会議プロシーディングス)
  • A fully integrated, wide-load-range, high-power-conversion-efficiency switched capacitor DC-DC converter with adaptive bias comparator for ultra-low-power power management integrated circuit,Hiroki Asano,Tetsuya Hirose,Yuta Kojima,Nobutaka Kuroki,Masahiro Numa,JAPANESE JOURNAL OF APPLIED PHYSICS,IOP PUBLISHING LTD,Vol. 57,No. 4,2018年04月,研究論文(学術雑誌)
  • A sub-1-us Start-up time, fully-integrated 32-MHz relaxation oscillator for low-power intermittent systems,ASANO Hiroki,HIROSE Tetsuya,MIYOSHI Taro,TSUBAKI Keishi,OZAKI Toshihiro,KUROKI Nobutaka,NUMA Masahiro,IEICE Transactions on Electronics,The Institute of Electronics, Information and Communication Engineers,Vol. E101C,No. 3,p. 161-169,2018年03月,研究論文(学術雑誌)
  • An error diagnosis technique based on unsatisfiable cores to extract error locations sets,TAKEZAKI Ayano,OHMURA Syogo,KATAYAMA Naoki,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,Proceedings of the 21st workshop on synthesis and system integration of mixed information technologies,SASIMI Workshop,p. 81-86,2018年03月,研究論文(学術雑誌)
  • Analytical Study of Multi-stage Switched-Capacitor Voltage Boost Converter for Ultra-low Voltage Energy Harvesting,Yuichiro Nakazawa,Tetsuya Hirose,Toshihiro Ozaki,Yuto Tsuji,Shuto Kanzaki,Hiroki Asano,Nobutaka Kuroki,Masahiro Numa,2018 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS),IEEE,2018年,研究論文(国際会議プロシーディングス)
  • Super-resolution with horizontal and vertical convolutional neural networks,Yu Kato,Shinya Ohtani,Nobutaka Kuroki,Tetsuya Hirose,Masahiro Numa,IEEJ Transactions on Electronics, Information and Systems,Vol. 138,No. 7,p. 957-963,2018年,研究論文(学術雑誌)
  • A Multifunctional Sensor Node Sharing Coils in Wireless Power Supply, Wireless Communication and Distance Sensing Modes,Ryo Shirai,Tetsuya Hirose,Masanori Hashimoto,2018 16TH IEEE INTERNATIONAL NEW CIRCUITS AND SYSTEMS CONFERENCE (NEWCAS),IEEE,p. 152-156,2018年,研究論文(国際会議プロシーディングス)
  • Switched-Capacitor Voltage Buck Converter with Step-Down-Ratio and Clock-Frequency Controllers for Ultra-Low-Power IoT Devices,Shuto Kanzaki,Tetsuya Hirose,Hiroki Asano,Yuichiro Nakazawa,Nobutaka Kuroki,Masahiro Numa,2018 25TH IEEE INTERNATIONAL CONFERENCE ON ELECTRONICS, CIRCUITS AND SYSTEMS (ICECS),IEEE,p. 209-212,2018年,研究論文(国際会議プロシーディングス)
  • An ultra-low power active diode using a hysteresis common gate comparator for low-voltage and low-power energy harvesting systems,Kaori Matsumoto,Tetsuya Hirose,Hiroki Asano,Yuto Tsuji,Yuichiro Nakazawa,Nobutaka Kuroki,Masahiro Numa,PROCEEDINGS OF THE 2018 26TH IFIP/IEEE INTERNATIONAL CONFERENCE ON VERY LARGE SCALE INTEGRATION (VLSI-SOC),IEEE,Vol. 2018-October,p. 196-200,2018年,研究論文(国際会議プロシーディングス)
  • IoTノード向けアンテナ組込型小体積高効率トランスミッタの開発,白井僚,廣瀬哲也,橋本昌宜,電子情報通信学会 集積回路研究会,2017年12月,研究論文(その他学術会議資料等)
  • 近傍界磁界通信・電界測距共用mm3級アンテナの実装と評価,白井僚,河野仁,廣瀬哲也,橋本昌宜,電子情報通信学会 回路とシステム研究会,2017年12月,研究論文(その他学術会議資料等)
  • Near-field dual-use antenna for magnetic-field based communication and electrical-field based distance sensing in mm3-class sensor node,SHIRAI Ryo,KONO Jin,HIROSE Tetsuya,HASHIMOTO Masanori,Proceedings of the 2017 IEEE international symposium on circuits and systems,The Institute of Electrical and Electronics Engineers,p. 124-127,2017年09月25日,研究論文(国際会議プロシーディングス)
  • An area-efficient, 0.022-mm2, fully integrated resistor-less relaxation oscillator for ultra-low power real-time clock applications,ASANO Hiroki,HIROSE Tetsuya,OZAKI Toshihiro,KUROKI Nobutaka,NUMA Masahiro,Proceedings of the 2017 IEEE international symposium on circuits and systems,The Institute of Electrical and Electronics Engineers,p. 477-480,2017年09月25日,研究論文(国際会議プロシーディングス)
  • A wide load range switched capacitor DC-DC converter with adaptive bias comparator for ultra-low-power power management integrated circuit,ASANO Hiroki,HIROSE Tetsuya,KOJIMA Yuta,KUROKI Nobutaka,NUMA Masahiro,Extended abstract of the 2017 international conference on solid state devices and materials,The Japan Society of Applied Physics,p. 511-512,2017年09月,研究論文(学術雑誌)
  • An 80-mV-to-1.8-V Conversion-Range Low-Energy Level Shifter for Extremely Low-Voltage VLSIs,Ryo Matsuzuka,Tetsuya Hirose,Yuzuru Shizuku,Kyohei Shinonaga,Nobutaka Kuroki,Masahiro Numa,IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS,IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC,Vol. 64,No. 8,p. 2026-2035,2017年08月,研究論文(学術雑誌)
  • Toward real-time 3D modeling system with cubic-millimeters wireless sensor nodes,Masanori Hashimoto,Ryo Shirai,Yuichi Itoh,Tetsuya Hirose,Proceedings of International Conference on ASIC,Vol. 2017-October,p. 1065-1068,2017年07月01日,研究論文(国際会議プロシーディングス)
  • Ultralow-quiescent-current and wide-load-range low-dropout linear regulator with self-biasing technique for micropower battery management,Toshihiro Ozaki,Tetsuya Hirose,Hiroki Asano,Nobutaka Kuroki,Masahiro Numa,JAPANESE JOURNAL OF APPLIED PHYSICS,IOP PUBLISHING LTD,Vol. 56,No. 4,2017年04月,研究論文(学術雑誌)
  • Segmentation and colorization of grayscale image using convolutional neural network,ARASHI Yuto,KUROKI Nobutaka,HIROSE Tetsuya,NUMA Masahiro,Proceedings of the 2017 RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing (NCSP 2017),p. 1PM2-3-3,2017年03月,研究論文(国際会議プロシーディングス)
  • Restoring defocus images with Wiener filter and convolutional neural network,NOHARA Hiroshi,KUROKI Nobutaka,HIROSE Tetsuya,NUMA Masahiro,Proceedings of the 2017 RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing (NCSP 2017),p. 1AM2-1-4,2017年03月,研究論文(国際会議プロシーディングス)
  • A study on point cloud registration with SIFT features,YAMAGUCHI Yudai,KUROKI Nobutaka,HIROSE Tetsuya,NUMA Masahiro,Proceedings of the 2017 RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing (NCSP 2017),p. 3AM2-3-5,2017年03月,研究論文(国際会議プロシーディングス)
  • Sub-1-µs start-up time, 32-MHz relaxation oscillator for low-power intermittent VLSI systems,ASANO Hiroki,HIROSE Tetsuya,MIYOSHI Taro,TSUBAKI Keishi,OZAKI Toshihiro,KUROKI Nobutaka,NUMA Masahiro,The 22th Asia and South Pacific Design Automation Conference (ASP-DAC),p. 35-36,2017年02月16日,研究論文(国際会議プロシーディングス)
  • Multi-Channel Convolutional Neural Networks for Image Super-Resolution,Shinya Ohtani,Yu Kato,Nobutaka Kuroki,Tetsuya Hirose,Masahiro Numa,IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES,IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG,Vol. E100A,No. 2,p. 572-580,2017年02月,研究論文(学術雑誌)
  • Multi-channel convolutional neural networks for image super-resolution,OHTANI Shinya,KATO Yu,KUROKI Nobutaka,HIROSE Tetsuya,NUMA Masahiro,IEICE Transactions on Information and Systems,Vol. E100A,No. 2,p. 572-580,2017年02月,研究論文(学術雑誌)
  • Near-Field Dual-Use Antenna for Magnetic-Field based Communication and Electrical-Field based Distance Sensing in mm(3)-Class Sensor Node,Ryo Shirai,Jin Kono,Tetsuya Hirose,Masanori Hashimoto,2017 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS),IEEE,p. 124-127,2017年,研究論文(国際会議プロシーディングス)
  • Dedicated Antenna Less Power Efficient OOK Transmitter for mm-Cubic IoT Nodes,Ryo Shirai,Tetsuya Hirose,Masanori Hashimoto,2017 47TH EUROPEAN MICROWAVE CONFERENCE (EUMC),IEEE,Vol. 2017-January,p. 101-104,2017年,研究論文(国際会議プロシーディングス)
  • Impedance Matching in Magnetic-Coupling-Resonance Wireless Power Transfer for Small Implantable Devices,Sota Masuda,Tetsuya Hirose,Yuki Akihara,Nobutaka Kuroki,Masahiro Numa,Masanori Hashimoto,2017 IEEE WIRELESS POWER TRANSFER CONFERENCE (WPTC 2017),IEEE,p. 1-4,2017年,研究論文(国際会議プロシーディングス)
  • An Ultra-Low-Power Supercapacitor Voltage Monitoring System for Low-Voltage Energy Harvesting,Takanori Sato,Tetsuya Hirose,Hiroki Asano,Nobutaka Kuroki,Masahiro Numa,2017 24TH IEEE INTERNATIONAL CONFERENCE ON ELECTRONICS, CIRCUITS AND SYSTEMS (ICECS),IEEE,Vol. 2018-January,p. 498-501,2017年,研究論文(国際会議プロシーディングス)
  • A 0.1-0.6 V Input Range Voltage Boost Converter with Low-Leakage Driver for Low-Voltage Energy Harvesting,Yuto Tsuji,Tetsuya Hirose,Toshihiro Ozaki,Hiroki Asano,Nobutaka Kuroki,Masahiro Numa,2017 24TH IEEE INTERNATIONAL CONFERENCE ON ELECTRONICS, CIRCUITS AND SYSTEMS (ICECS),IEEE,Vol. 2018-January,p. 502-505,2017年,研究論文(国際会議プロシーディングス)
  • A highly efficient switched-capacitor voltage boost converter with nano-watt MPPT controller for low-voltage energy harvesting,OZAKI Toshihiro,HIROSE Tetsuya,NAGAI Takahiro,TSUBAKI Keishi,KUROKI Nobutaka,NUMA Masahiro,IEICE Transactions on Fundamentals of Electronics, Communications and Computer,Vol. E99A,No. 12,p. 2491-2499,2016年12月,研究論文(学術雑誌)
  • A fully integrated, 1-us start-up time, 32-MHz relaxation oscillator for low-power intermittent systems,ASANO Hiroki,HIROSE Tetsuya,MIYOSHI Taro,TSUBAKI Keishi,OZAKI Toshihiro,KUROKI Nobutaka,NUMA Masahiro,Proceedings of the 14th IEEE International NEWCAS conference,p. 1-4,2016年10月20日,研究論文(国際会議プロシーディングス)
  • A 1.66-nW/kHz, 32.7-kHz, 99.5ppm\/℃, fully integrated current-mode RC oscillator for real-time clock applications with PVT stability,ASANO Hiroki,HIROSE Tetsuya,TSUBAKI Keishi,MIYOSHI Taro,OZAKI Toshihiro,KUROKI Nobutaka,NUMA Masahiro,Proceedings of the European Solid-State Circuits Conference (ESSCIRC),Vol. 2016-October,p. 149-152,2016年10月18日,研究論文(国際会議プロシーディングス)
  • On component ratio of RECON spare cells for ECO-friendly design style,SAWAI Takeshi,TAKEZAKI Ayano,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,Proceedings of the 20th Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI 2016),p. 205-210,2016年10月,研究論文(国際会議プロシーディングス)
  • Fully-Integrated High-Conversion-Ratio Dual-Output Voltage Boost Converter With MPPT for Low-Voltage Energy Harvesting,Toshihiro Ozaki,Tetsuya Hirose,Hiroki Asano,Nobutaka Kuroki,Masahiro Numa,IEEE JOURNAL OF SOLID-STATE CIRCUITS,IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC,Vol. 51,No. 10,p. 2398-2407,2016年10月,研究論文(学術雑誌)
  • An error diagnosis technique based on averaged EPI values to extract error locations sets,TAKEZAKI Ayano,SAWAI Takeshi,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,Proceedings of the 20th Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI 2016),p. 317-322,2016年10月,研究論文(国際会議プロシーディングス)
  • A hardware architecture to perform K-means clustering for learning-based super-resolution combining self-learning and prior-learning dictionaries,MURATA Daichi,KIRIYAMA Ayumi,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,Proceedings of the 20th Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI 2016),p. 268-273,2016年10月,研究論文(国際会議プロシーディングス)
  • A self-biased low-dropout linear regulator for ultra-low power battery management,OZAKI Toshihiro,HIROSE Tetsuya,ASANO Hiroki,KUROKI Nobutaka,NUMA Masahiro,Extended abstract of the 2016 International Conference on Solid State Devices and Materials (SSDM 2016),p. 463-464,2016年09月,研究論文(その他学術会議資料等)
  • 4出力の畳み込みニューラルネットワークを用いた超解像,加藤 裕,大谷 真也,黒木 修隆,廣瀬 哲也,沼 昌宏,第15回情報科学技術フォーラム(FIT2016)講演論文集,p. RI-005,2016年09月,研究論文(研究会,シンポジウム資料等)
  • 極低入力電圧を昇圧するチャージポンプ回路の設計,辻 佑斗,廣瀬 哲也,尾崎 年洋,浅野 大樹,小國 一道,黒木 修隆,沼 昌宏,第29回 回路とシステムワークショップ論文集,[電子情報通信学会],Vol. 29,p. 301-306,2016年05月,研究論文(研究会,シンポジウム資料等)
  • 4並列の畳み込みニューラルネットワークを用いた超解像,大谷 真也,加藤 裕,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会論文誌,Vol. J99-D,No. 5,p. 588-593,2016年05月,研究論文(学術雑誌)
  • A fully on-chip three-terminal switched-capacitor DC-DC converter for low-voltage CMOS LSIs,Yuta Kojima,Tetsuya Hirose,Keishi Tsubaki,Toshihiro Ozaki,Hiroki Asano,Nobutaka Kuroki,Masahiro Numa,JAPANESE JOURNAL OF APPLIED PHYSICS,IOP PUBLISHING LTD,Vol. 55,No. 4,p. 04EF09-1-04EF09-5,2016年04月,研究論文(学術雑誌)
  • Locally weighted averaging for denoising of medical tomographic images,KATO Yu,KUROKI Nobutaka,HIROSE Tetsuya,NUMA Masahiro,Journal of Signal Processing,信号処理学会,Vol. 20,No. 4,p. 217-220,2016年04月,研究論文(学術雑誌)
  • Three dimensional NL-Means method for denoising continuous shooting photography,KOBORI Hirokazu,KUROKI Nobutaka,HIROSE Tetsuya,NUMA Masahiro,RISP international workshop on nonlinear circuits,Research institute of signal processing japan,p. 570-573,2016年03月,研究論文(国際会議プロシーディングス)
  • Noise reduction for medical tomographic images based on locally weighted averaging,KATO Yu,KUROKI Nobutaka,HIROSE Tetsuya,NUMA Masahiro,RISP international workshop on nonlinear circuits,Research institute of signal processing japan,p. 566-569,2016年03月,研究論文(国際会議プロシーディングス)
  • Crack extraction from noisy images with fractal dimension analysis,HANAKI Ryo,KUROKI Nobutaka,HIROSE Tetsuya,NUMA Masahiro,RISP international workshop on nonlinear circuits,Research institute of signal processing japan,p. 423-426,2016年03月,研究論文(国際会議プロシーディングス)
  • Anomalous behavior detection in videos based on deformable part models,SUGIMOTO Tamotsu,KUROKI Nobutaka,HIROSE Tetsuya,NUMA Masahiro,RISP international workshop on nonlinear circuits,Research institute of signal processing japan,p. 419-422,2016年03月,研究論文(国際会議プロシーディングス)
  • Image Super-Resolution with Multi-Channel Convolutional Neural Networks,Yu Kato,Shinya Ohtani,Nobutaka Kuroki,Tetsuya Hirose,Masahiro Numa,2016 14TH IEEE INTERNATIONAL NEW CIRCUITS AND SYSTEMS CONFERENCE (NEWCAS),IEEE,p. 1-4,2016年,研究論文(国際会議プロシーディングス)
  • Highly-Efficient Power Transmitter Coil Design for Small Wireless Sensor Nodes,Souta Masuda,Tetsuya Hirose,Yuki Akihara,Nobutaka Kuroki,Masahiro Numa,Masanori Hashimoto,2016 INTERNATIONAL SYMPOSIUM ON ANTENNAS AND PROPAGATION (ISAP),IEEE,p. 512-513,2016年,研究論文(国際会議プロシーディングス)
  • Analytical Study of Rectifier Circuit for Wireless Power Transfer Systems,Yuki Akihara,Tetsuya Hirose,Sota Masuda,Nobutaka Kuroki,Masahiro Numa,Masanori Hashimoto,2016 INTERNATIONAL SYMPOSIUM ON ANTENNAS AND PROPAGATION (ISAP),IEEE,p. 338-339,2016年,研究論文(国際会議プロシーディングス)
  • A 0.38-mu W Stand-by Power, 50-nA-to-1-mA Load Current Range DC-DC Converter with Self-Biased Linear Regulator for Ultra-Low Power Battery Management,Toshihiro Ozaki,Tetsuya Hirose,Hiroki Asano,Nobutaka Kuroki,Masahiro Numa,2016 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE (A-SSCC),IEEE,p. 225-228,2016年,研究論文(国際会議プロシーディングス)
  • An energy-efficient 24T flip-flop consisting of standard CMOS gates for ultra-low power digital VLSIs,SHIZUKU Yuzuru,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,OKADA Mitsuji,IEICE Transactions on Fundamentals of Electronics, Communications and Computer,The institute of electronics, information and communication engineers (IEEE),Vol. E98A,No. 12,p. 2600-2606,2015年12月,研究論文(学術雑誌)
  • A wireless power transfer system for small-sized sensor applications,AKIHARA Yuki,HIROSE Tetsuya,TANAKA Yuki,KUROKI Nobutaka,NUMA Masahiro,HASHIMOTO Masanori,Extended abstract of the 2015 international conference on solid state devices and materials,The japan society of applied physics,p. 154-155,2015年09月,研究論文(国際会議プロシーディングス)
  • A fully on-chip 3-terminal switched-capacitor DC-DC converter with startup/fail-safe circuit,KOJIMA Yuta,HIROSE Tetsuya,TSUBAKI Keishi,OZAKI Toshihiro,ASANO Hiroki,KUROKI Nobutaka,NUMA Masahiro,Extended abstract of the 2015 international conference on solid state devices and materials,The japan society of applied physics,p. 158-159,2015年09月,研究論文(国際会議プロシーディングス)
  • 適応バイアス技術を用いた超低電力・高速オペアンプの高性能化,坪井 惇紀,廣瀬 哲也,尾崎 年洋,浅野 大樹,黒木 修隆,沼 昌宏,第28回 回路とシステムワークショップ,電子情報通信学会,Vol. 28,p. 94-99,2015年08月,研究論文(研究会,シンポジウム資料等)
  • 小型センサデバイスに向けた無線給電システムの設計,秋原 優樹,廣瀬 哲也,田中 勇気,黒木 修隆,沼 昌宏,橋本 昌宜,第28回 回路とシステムワークショップ,電子情報通信学会,Vol. 28,p. 258-263,2015年08月,研究論文(研究会,シンポジウム資料等)
  • 高耐圧CMOSプロセスによる超低電力・適応バイアス型シリーズレギュレータ,佐渡 健司,廣瀬 哲也,椿 啓志,尾崎 年洋,浅野 大樹,松本 香,黒木 修隆,沼 昌宏,第28回 回路とシステムワークショップ,電子情報通信学会,Vol. 28,p. 264-269,2015年08月,研究論文(研究会,シンポジウム資料等)
  • 高速起動を特徴とするフルオンチップ32 MHz弛張発振回路,三好 太朗,廣瀬 哲也,椿 啓志,浅野 大樹,尾崎 年洋,黒木 修隆,沼 昌宏,第28回 回路とシステムワークショップ,電子情報通信学会,Vol. 28,p. 70-75,2015年08月,研究論文(研究会,シンポジウム資料等)
  • スイッチトキャパシタ回路を用いたオンチップ電源回路の高効率化,小島 裕太,廣瀬 哲也,椿 啓志,尾崎 年洋,浅野 大樹,黒木 修隆,沼 昌宏,第28回 回路とシステムワークショップ,電子情報通信学会,Vol. 28,p. 270-275,2015年08月,研究論文(研究会,シンポジウム資料等)
  • サブスレッショルド領域動作に適したスタンダードセルのサイジング手法,篠永 恭平,廣瀬 哲也,雫 譲,松塚 凌,黒木 修隆,沼 昌宏,第28回 回路とシステムワークショップ,電子情報通信学会,Vol. 28,p. 88-93,2015年08月,研究論文(研究会,シンポジウム資料等)
  • A 0.19-V minimum input low energy level shifter for extremely low-voltage VLSIs,MATSUZUKA Ryo,HIROSE Tetsuya,SHIZUKU Yuzuru,KUROKI Nobutaka,NUMA Masahiro,Proceedings of the 2015 IEEE International symposium on circuits and systems,The institute of electronics, information and communication engineers (IEEE),Vol. 2015-July,p. 2948-2951,2015年07月27日,研究論文(国際会議プロシーディングス)
  • A 32-kHz real-time clock oscillator with on-chip PVT variation compensation circuit for ultra-low power MCUs,TSUBAKI Keishi,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,IEICE Transactions on Electronics,The institute of electronics, information and communication engineers (IEEE),Vol. E98C,No. 5,p. 446-453,2015年05月01日,研究論文(学術雑誌)
  • Nano watt power rail-to-rail CMOS amplifier with adaptive biasing circuits for ultralow-power analog LSIs,Toshihiro Ozaki,Tetsuya Hirose,Keishi Tsubaki,Nobutaka Kuroki,Masahiro Numa,JAPANESE JOURNAL OF APPLIED PHYSICS,IOP PUBLISHING LTD,Vol. 54,No. 4,p. 1-7,2015年04月,研究論文(学術雑誌)
  • Object Detection with Deformable Part Models and Deep Convolutional Neural Networks,OHTANI Shinya,KUROKI Nobutaka,HIROSE Tetsuya,NUMA Masahiro,Proceedings of 2015 RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing (NCSP 2015),Research Institute of Signal Processing Japan (RISP),p. 218-221,2015年03月,研究論文(国際会議プロシーディングス)
  • Bayer Demosaicing with Example-Based Super-Resolution,MIYAHARA Kyousuke,KUROKI Nobutaka,HIROSE Tetsuya,NUMA Masahiro,Proceedings of 2015 RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing (NCSP 2015),Research Institute of Signal Processing Japan (RISP),p. 421-424,2015年03月,研究論文(国際会議プロシーディングス)
  • Architecture of a JPEG Noise Reduction Method with Total Variation,ONISHI Toshihito,KUROKI Nobutaka,HIROSE Tetsuya,NUMA Masahiro,Proceedings of 2015 RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing (NCSP 2015),Research Institute of Signal Processing Japan (RISP),p. 353-356,2015年03月,研究論文(国際会議プロシーディングス)
  • An ECO-friendly design style based on reconfigurable cells,KABATA Yudai,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,Proceedings of the 19th Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI 2015),p. 319-324,2015年03月,研究論文(国際会議プロシーディングス)
  • A 0.19-V Minimum Input Low Energy Level Shifter for Extremely Low-Voltage VLSIs,Ryo Matsuzuka,Tetsuya Hirose,Yuzuru Shizuku,Nobutaka Kuroki,Masahiro Numa,2015 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS),IEEE,p. 2948-2951,2015年,研究論文(国際会議プロシーディングス)
  • Energy-efficient AES SubBytes transformation circuit using asynchronous circuits for ultra-low voltage operation,Yuzuru Shizuku,Tetsuya Hirose,Nobutaka Kuroki,Masahiro Numa,Mitsuji Okada,IEICE ELECTRONICS EXPRESS,IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG,Vol. 12,No. 4,p. 1-10,2015年,研究論文(学術雑誌)
  • A 0.21-V Minimum Input, 73.6% Maximum Efficiency, Fully Integrated 3-Terminal Voltage Converter with MPPT for Low-Voltage Energy Harvesters,Toshihiro Ozaki,Tetsuya Hirose,Takahiro Nagai,Keishi Tsubaki,Nobutaka Kuroki,Masahiro Numa,2015 20TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC),IEEE,p. 30-31,2015年,研究論文(国際会議プロシーディングス)
  • A Fully-Integrated, High-Conversion-Ratio and Dual-Output Voltage Boost Converter with MPPT for Low-Voltage Energy Harvesting,Toshihiro Ozaki,Tetsuya Hirose,Hiroki Asano,Nobutaka Kuroki,Masahiro Numa,2015 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE (A-SSCC),IEEE,p. 297-300,2015年,研究論文(国際会議プロシーディングス)
  • 学習型超解像による4倍拡大映像出力ハードウェアの実現と辞書探索回路の規模削減,松塚 凌,切山 亜弓,道畠 昂平,雫 譲,廣瀬 哲也,黒木 修隆,沼 昌宏,情報処理学会 第13回情報科学技術フォーラム(FIT2014),情報処理学会,p. 173-174,2014年09月,研究論文(研究会,シンポジウム資料等)
  • A Nano-Watt Power Rail-to-Rail CMOS Amplifier with Adaptive Biasing for Ultra-Low Power Analog LSIs,OZAKI Toshihiro,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,Extended abstract of the 2014 International Conference on Solid State Devices and Materials (SSDM 2014),The Japan Society of Applied Physics (JSAP),p. 964-965,2014年09月,研究論文(その他学術会議資料等)
  • 電力変換効率の負荷電流依存性を考慮したオンチップチャージポンプの高効率化,浅野 大樹,廣瀬 哲也,椿 啓志,尾崎 年洋,黒木 修隆,沼 昌宏,第27 回 回路とシステムワークショップ,電子情報通信学会,Vol. 27,p. 25-30,2014年08月,研究論文(研究会,シンポジウム資料等)
  • 時間計測アプリケーシ ョンに向けた超低電力弛張発振回路,椿 啓志,廣瀬 哲也,尾崎 年洋,黒木 修隆,沼 昌宏,電子情報通信学会 集積回路研究会,電子情報通信学会,p. 99-104,2014年08月,研究論文(研究会,シンポジウム資料等)
  • 高耐圧CMOSトランジスタによる低電力バンドギャップリファレンス回路,松本 香,廣瀬 哲也,椿 啓志,黒木 修隆,沼 昌宏,第27 回 回路とシステムワークショップ,電子情報通信学会,p. 31-35,2014年08月,研究論文(研究会,シンポジウム資料等)
  • PWM制御方式を用いた時間分解能型ADコンバータの低電力化,小國 一道,廣瀬 哲也,椿 啓志,尾崎 年洋,黒木 修隆,沼 昌宏,第27 回 回路とシステムワークショップ,電子情報通信学会,Vol. 27,p. 300-305,2014年08月,研究論文(研究会,シンポジウム資料等)
  • A Fully On-Chip, 6.66-kHz, 320-nA, 56ppm/°C, CMOS Relaxation Oscillator with PVT Variation Compensation Circuit,TSUBAKI Keishi,HIROSE Tetsuya,OSAKI Yuji,KUROKI Nobutaka,NUMA Masahiro,IEICE Transactions on Electronics,The Institute of Electronics, Information and Communication Engineers (IEICE),Vol. E97-C,No. 6,p. 512-518,2014年06月,研究論文(学術雑誌)
  • Scene Segmentation for TV Programs Based on a Bag-of-VisualWords Model,IJIRI Shota,KUROKI Nobutaka,HIROSE Tetsuya,NUMA Masahiro,2014 RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing (NCSP 2014),RISP,p. 41-44,2014年03月,研究論文(国際会議プロシーディングス)
  • Estimation of Visual Importance Map for Image Quality Assessment,IZUMI Naoyuki,KUROKI Nobutaka,HIROSE Tetsuya,NUMA Masahiro,2014 RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing (NCSP 2014),RISP,p. 501-504,2014年03月,研究論文(国際会議プロシーディングス)
  • A Scene Matching Method for TV Programs Based on Audio Features,OURA Junki,KUROKI Nobutaka,HIROSE Tetsuya,NUMA Masahiro,2014 RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing (NCSP 2014),RISP,p. 361-364,2014年03月,研究論文(国際会議プロシーディングス)
  • Architecture of Digital Zooming Function with Example-Based Hierarchical Super-Resolution,SUGAHARA Yuki,KUROKI Nobutaka,HIROSE Tetsuya,NUMA Masahiro,2014 RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing (NCSP 2014),RISP,p. 301-304,2014年03月,研究論文(国際会議プロシーディングス)
  • A 24-Transistor Static Flip-Flop Consisting of NORs and Inverters for Low-Power Digital VLSIs,Yuzuru Shizuku,Tetsuya Hirose,Nobutaka Kuroki,Masahiro Numa,Mitsuji Okada,2014 IEEE 12TH INTERNATIONAL NEW CIRCUITS AND SYSTEMS CONFERENCE (NEWCAS),IEEE,p. 137-140,2014年,研究論文(国際会議プロシーディングス)
  • A 0.21-V Minimum Input, 73.6% Maximum Efficiency, Fully Integrated Voltage Boost Converter with MPPT for Low-Voltage Energy Harvesters,Toshihiro Ozaki,Tetsuya Hirose,Takahiro Nagai,Keishi Tsubaki,Nobutaka Kuroki,Masahiro Numa,PROCEEDINGS OF THE 40TH EUROPEAN SOLID-STATE CIRCUIT CONFERENCE (ESSCIRC 2014),IEEE,p. 255-258,2014年,研究論文(国際会議プロシーディングス)
  • Technology remapping based on multiple solutions for post-mask functional ECO,KABATA Yudai,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,18th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI 2013),p. 253-258,2013年10月,研究論文(国際会議プロシーディングス)
  • A technique for accelerating adaptive super resolution technique based on local features of images using GPU,KUGAI Kento,SHIZUKU Yuzuru,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,18th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI 2013),p. 170-175,2013年10月,研究論文(国際会議プロシーディングス)
  • An error diagnosis technique using QBF solver to fix LUT functions,KATAYAMA Naoki,SAKAMOTO Hiroyuki,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,18th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI 2013),p. 28-33,2013年10月,研究論文(国際会議プロシーディングス)
  • A memory-saving technique for 4K super-resolution circuit with binary tree dictionary,KIRIYAMA Ayumi,MATSUZUKA Ryo,MICHIBATA Kouhei,KITAYAMA Takahiro,SHIZUKU Yuzuru,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,18th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI 2013),p. 360-365,2013年10月,研究論文(国際会議プロシーディングス)
  • A compact and energy-efficient Muller C-element for low-voltage asynchronous CMOS digital circuits,SHIZUKU Yuzuru,HIROSE Tetsuya,DANNO Yuya,KUROKI Nobutaka,NUMA Masahiro,18th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI 2013),p. 118-122,2013年10月,研究論文(国際会議プロシーディングス)
  • 1.2-V Supply, 100-nW, 1.09-V Bandgap and 0.7-V Supply, 52.5-nW, 0.55-V Subbandgap Reference Circuits for Nanowatt CMOS LSIs,Yuji Osaki,Tetsuya Hirose,Nobutaka Kuroki,Masahiro Numa,IEEE JOURNAL OF SOLID-STATE CIRCUITS,IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC,Vol. 48,No. 6,p. 1530-1538,2013年06月,研究論文(学術雑誌)
  • Highlight Generation Technique for Baseball Games Based on Information Entropies,SADAMOTO Taishi,KATAOKA Mitsuteru,KUROKI Nobutaka,HIROSE Tetsuya,NUMA Masahiro,Proceedings of 2013 RISP International Workshop on Nonlinear Circuits, Communications and Signal Processing (NCSP 2013),RISP,p. 373-376,2013年03月,研究論文(国際会議プロシーディングス)
  • Signal-Dependent Analog-to-Digital Conversion Based on MINIMAX Sampling,Igors Homjakovs,Masanori Hashimoto,Tetsuya Hirose,Takao Onoye,IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES,IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG,Vol. E96A,No. 2,p. 459-468,2013年02月,研究論文(学術雑誌)
  • 学習型超解像のための二分木辞書,橋本 明信,中矢 知宏,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会論文誌,電子情報通信学会,Vol. J96-D,No. 2,p. 357-361,2013年02月,研究論文(学術雑誌)
  • A 0.8-V 110-nA CMOS current reference circuit using subthreshold operation,Igors Homjakovs,Tetsuya Hirose,Yuji Osaki,Masanori Hashimoto,Takao Onoye,IEICE ELECTRONICS EXPRESS,IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG,Vol. 10,No. 4,p. 20130022, 1-6,2013年,研究論文(学術雑誌)
  • A 32.55-kHz, 472-nW, 120ppm/C, Fully on-Chip, Variation Tolerant CMOS Relaxation Oscillator for a Real-Time Clock Application,TSUBAKI Keishi,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,39th European Solid-State Circuits Conference (ESSCIRC),p. 315-318,2013年,研究論文(国際会議プロシーディングス)
  • A dynamic comparator using dynamic currents of CMOS logic gates for low-power and high-efficient offset calibration,MASUDA Chotaro,HIROSE Tetsuya,OSAKI Yuji,KUROKI Nobutaka,NUMA Masahiro,Extended abstract of the 2012 International Conference on Solid State Devices and Materials,JSAP,p. 154-155,2012年09月,研究論文(国際会議プロシーディングス)
  • A Low-Power Level Shifter With Logic Error Correction for Extremely Low-Voltage Digital CMOS LSIs,Yuji Osaki,Tetsuya Hirose,Nobutaka Kuroki,Masahiro Numa,IEEE JOURNAL OF SOLID-STATE CIRCUITS,IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC,Vol. 47,No. 7,p. 1776-1783,2012年07月,研究論文(学術雑誌)
  • Microwatt Power CMOS Analog Circuit Designs: Ultralow Power LSIS for Power-Aware Applications,Ken Ueno,Tetsuya Hirose,Advanced Circuits for Emerging Technologies,p. 277-312,2012年05月07日,論文集(書籍)内論文
  • Saving power consumption in final stage adder of multiplier by using difference in arrival times with input signals,SHIZUKU Yuzuru,KOGURE Takeshi,FUJIOKA Tatsuya,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,The 17th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI 2012),Vol. pp. 192-196,2012年03月,研究論文(国際会議プロシーディングス)
  • Reduction of glitches for low-power multipliers using 4-2 compressors based on hybrid-CMOS logic style,SON Yang-uk,SHIZUKU Yuzuru,KOGURE Takeshi,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,The 17th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI 2012),Vol. pp. 534-538,2012年03月,研究論文(国際会議プロシーディングス)
  • Reconfigurable cells for post-mask ECO,SENZAKI Hiroto,MATSUYAMA Tomoki,WATANABE Kosuke,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,The 17th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI 2012),Vol. pp. 199-204,2012年03月,研究論文(国際会議プロシーディングス)
  • Hardware architecture for real-time operation of learning-based super-resolution using binary search tree,KITAYAMA Takahiro,MICHIBATA Kohei,SHIZUKU Yuzuru,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,The 17th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI 2012),Vol. pp. 492-496,2012年03月,研究論文(国際会議プロシーディングス)
  • A technique for accelerating SVM-based image recognition using GPU,SASAKI Jin,SHIZUKU Yuzuru,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,The 17th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI 2012),Vol. pp. 28-32,2012年03月,研究論文(国際会議プロシーディングス)
  • An error diagnosis technique based on SAT solver,MATSUYAMA Tomoki,SENZAKI Hiroto,WATANABE Kosuke,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,The 17th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI 2012),Vol. pp. 544-548,2012年03月,研究論文(国際会議プロシーディングス)
  • A delay control technique for low-voltage subthreshold CMOS digital circuits,SHIGA Seiichiro,HIROSE Tetsuya,OSAKI Yuji,KUROKI Nobutaka,NUMA Masahiro,The 17th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI 2012),Vol. pp. 555-559,2012年03月,研究論文(国際会議プロシーディングス)
  • カラー画像に対応した画質評価手法VSNRC,河嶋 和美,中矢 知宏,廣瀬 哲也,黒木 修隆,沼 昌宏,神戸大学大学院工学研究科紀要,Vol. 第3号, pp. 32-39,2012年02月,研究論文(学術雑誌)
  • Signal-Dependent Analog-to-Digital Converter Based on MINIMAX Sampling,Igors Homjakovs,Masanori Hashimoto,Takao Onoye,Tetsuya Hirose,2012 INTERNATIONAL SOC DESIGN CONFERENCE (ISOCC),IEEE,p. 120-123,2012年,研究論文(国際会議プロシーディングス)
  • A nano-watt power CMOS amplifier with adaptive biasing for power-aware analog LSIs,TSURUYA Yumiko,HIROSE Tetsuya,OSAKI Yuji,KUROKI Nobutaka,NUMA Masahiro,38th IEEE European Solid-State Circuits Conference (ESSCIRC),IEEE,p. 69-72,2012年,研究論文(国際会議プロシーディングス)
  • A Low-Power Single-Slope Analog-to-Digital Converter with Digital PVT Calibration,Yuji Osaki,Tetsuya Hirose,Keishi Tsubaki,Nobutaka Kuroki,Masahiro Numa,2012 19TH IEEE INTERNATIONAL CONFERENCE ON ELECTRONICS, CIRCUITS AND SYSTEMS (ICECS),IEEE,p. 613-616,2012年,研究論文(国際会議プロシーディングス)
  • A 6.66-kHz, 940-nW, 56ppm/°C, Fully On-chip PVT Variation Tolerant CMOS Relaxation Oscillator,TSUBAKI Keishi,HIROSE Tetsuya,OSAKI Yuji,SHIGA Seiichiro,KUROKI Nobutaka,NUMA Masahiro,19th IEEE International Conference on Electronics, Circuits, and Systems (ICECS),IEEE,p. 97-100,2012年,研究論文(国際会議プロシーディングス)
  • Current compensation circuit for precise nano-ampere current reference,ISONO Kosuke,HIROSE Tetsuya,OSAKI Yuji,KUROKI Nobutaka,NUMA Masahiro,2011 International Conference on Solid State Devices and Materials (SSDM 2011),Vol. pp. 176-177,2011年09月,研究論文(国際会議プロシーディングス)
  • Subthreshold SRAM with Write Assist Technique Using On-Chip Threshold Voltage Monitoring Circuit,Kei Matsumoto,Tetsuya Hirose,Yuji Osaki,Nobutaka Kuroki,Masahiro Numa,IEICE TRANSACTIONS ON ELECTRONICS,IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG,Vol. E94C,No. 6,p. 1042-1048,2011年06月,研究論文(学術雑誌)
  • Subthreshold SRAM with write assist technique using on-chip threshold voltage monitoring circuit,MATSUMOTO Kei,HIROSE Tetsuya,OSAKI Yuji,KUROKI Nobutaka,NUMA Masahiro,IEICE Transaction on Electronics,Vol. E94-C,No. 6,p. 1042-1048,2011年06月,研究論文(学術雑誌)
  • A wide input voltage range level shifter circuit for extremely low-voltage digital LSIs,Yuji Osaki,Tetsuya Hirose,Nobutaka Kuroki,Masahiro Numa,IEICE ELECTRONICS EXPRESS,IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG,Vol. 8,No. 12,p. 890-896,2011年06月,研究論文(学術雑誌)
  • クロス形状フラクタルを用いた画像の高解像度化,河嶋 和美,近松 慎伍,中矢 知宏,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会論文誌,一般社団法人電子情報通信学会,Vol. vol. J94-D, no. 4, pp. 742-745,No. 4,p. 742-745,2011年04月,研究論文(学術雑誌)
  • Temperature-Compensated Nano-Ampere Current Reference Circuit with Subthreshold Metal-Oxide-Semiconductor Field-Effect Transistor Resistor Ladder,Yuji Osaki,Tetsuya Hirose,Nobutaka Kuroki,Masahiro Numa,JAPANESE JOURNAL OF APPLIED PHYSICS,IOP PUBLISHING LTD,Vol. 50,No. 4,2011年04月,研究論文(学術雑誌)
  • カラー画像に対応した画質評価手法VSNRC—VSNR calculation for color images,河嶋, 和美,中矢, 知宏,廣瀬, 哲也,黒木, 修隆,沼, 昌宏,神戸大学大学院工学研究科・システム情報学研究科紀要,神戸大学大学院工学研究科,Vol. 3,p. 32-39,2011年,研究論文(大学,研究機関等紀要)
  • Robust Subthreshold CMOS Digital Circuit Design with On-Chip Adaptive Supply Voltage Scaling Technique,Yuji Osaki,Tetsuya Hirose,Kei Matsumoto,Nobutaka Kuroki,Masahiro Numa,IEICE TRANSACTIONS ON ELECTRONICS,IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG,Vol. E94C,No. 1,p. 80-88,2011年01月,研究論文(学術雑誌)
  • A 95-nA, 523ppm/degrees C, 0.6-mu W CMOS Current Reference Circuit with Subthreshold MOS Resistor Ladder,Yuji Osaki,Tetsuya Hirose,Nobutaka Kuroki,Masahiro Numa,2011 16TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC),IEEE,p. 113-114,2011年,研究論文(国際会議プロシーディングス)
  • Robust subthreshold CMOS digital circuit design with on-chip adaptive supply voltage scaling technique,OSAKI Yuji,HIROSE Tetsuya,MATSUMOTO Kei,KUROKI Nobutaka,NUMA Masahiro,IEICE Transactions on Electronics,Vol. E94-C,No. 1,p. 80-88,2011年01月,研究論文(国際会議プロシーディングス)
  • A level shifter circuit design by using input/output voltage monitoring technique for ultra-low voltage digital CMOS LSIs,OSAKI Yuji,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,9th IEEE International NEWCAS conference,Vol. pp. 201-204,p. 201-204,2011年,研究論文(国際会議プロシーディングス)
  • High Current Efficiency Sense Amplifier Using Body-Bias Control for Ultra-Low-Voltage SRAM,Chotaro Masuda,Tetsuya Hirose,Kei Matsumoto,Yuji Osaki,Nobutaka Kuroki,Masahiro Numa,2011 IEEE 54TH INTERNATIONAL MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS (MWSCAS),IEEE,Vol. Wp2Track2_1-1,2011年,研究論文(国際会議プロシーディングス)
  • A level shifter with logic error correction circuit for extremely low-voltage digital CMOS LSIs,OSAKI Yuji,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,37th IEEE European Solid-State Circuits Conference (ESSCIRC 2011),Vol. pp. 199-202,p. 199-202,2011年,研究論文(国際会議プロシーディングス)
  • A 105-nW CMOS Thermal Sensor for Power-aware Applications,Toshi Nagayama,Tetsuya Hirose,Yuji Osaki,Nobutaka Kuroki,Masahiro Numa,2011 IEEE SENSORS,IEEE,Vol. pp. 1265-1268,p. 1265-1268,2011年,研究論文(国際会議プロシーディングス)
  • Ultra-low power and low voltage circuit design for next-generation power-aware LSI applications,HIROSE Tetsuya,International SoC Conference 2011 (ISOCC 2011, invited),Vol. pp. 24-27,p. 24-27,2011年,研究論文(国際会議プロシーディングス)
  • A 18.9-nA standby current comparator with adaptive bias current generator,ISONO Kosuke,HIROSE Tetsuya,TSUBAKI Keishi,KUROKI Nobutaka,NUMA Masahiro,IEEE Asian Solid-State Circuits Conference (A-SSCC 2011),Vol. pp. 237-240,p. 237-240,2011年,研究論文(国際会議プロシーディングス)
  • Signal-Dependent Analog-to-Digital Conversion based on MINIMAX Sampling,Igors Homjakovs,Masanori Hashimoto,Takao Onoye,Tetsuya Hirose,2011 IEEE 54TH INTERNATIONAL MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS (MWSCAS),IEEE,Vol. E69-A,No. 2,p. 459-468,2011年,研究論文(国際会議プロシーディングス)
  • An Error Diagnosis Technique Based on Clustering of Elements,Kosuke Shioki,Narumi Okada,Kosuke Watanabe,Tetsuya Hirose,Nobutaka Kuroki,Masahiro Numa,IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES,IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG,Vol. E93A,No. 12,p. 2490-2496,2010年12月,研究論文(学術雑誌)
  • Characteristics of short-term slow slip events estimated from deep low-frequency tremors in Shikoku, Japan,Tetsuya Hirose,Yoshihiro Hiramatsu,Kazushige Obara,JOURNAL OF GEOPHYSICAL RESEARCH-SOLID EARTH,AMER GEOPHYSICAL UNION,Vol. 115,2010年10月,研究論文(学術雑誌)
  • A 1-mu W 600-ppm/degrees C Current Reference Circuit Consisting of Subthreshold CMOS Circuits,Ken Ueno,Tetsuya Hirose,Tetsuya Asai,Yoshihito Amemiya,IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS,IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC,Vol. 57,No. 9,p. 681-685,2010年09月,研究論文(学術雑誌)
  • An On-Chip PVT Compensation Technique with Current Monitoring Circuit for Low-Voltage CMOS Digital LSIs,Yusuke Tsugita,Ken Ueno,Tetsuya Hirose,Tetsuya Asai,Yoshihito Amemiya,IEICE TRANSACTIONS ON ELECTRONICS,IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG,Vol. E93C,No. 6,p. 835-841,2010年06月,研究論文(学術雑誌)
  • An on-chip PVT compensation technique with current monitoring circuit for low-voltage CMOS digital LSIs,TSUGITA Yusuke,UENO Ken,HIROSE Tetsuya,ASAI Tetsuya,AMEMIYA Yoshihito,IEICE Transactions on Electronics,Vol. E93-C,No. 6,p. 835-841,2010年06月,研究論文(学術雑誌)
  • 低電圧ディジタルLSIのためのレベルコンバータ回路(アナログ,アナデジ混載,RF及びセンサインタフェース回路),大崎 勇士,廣瀬 哲也,黒木 修隆,沼 昌宏,映像情報メディア学会技術報告,一般社団法人 映像情報メディア学会,Vol. 34,p. 133-138,2010年,研究論文(その他学術会議資料等)
  • Super-Resolution Technique for Thermography with Dual-Camera System,Shingo Chikamatsu,Tomohiro Nakaya,Masakazu Kouda,Nobutaka Kuroki,Tetsuya Hirose,Masahiro Numa,2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS,IEEE,p. 1895-1898,2010年,研究論文(国際会議プロシーディングス)
  • Write-Assisted Subthreshold SRAM by Using On-Chip Threshold Voltage Monitoring Circuit,Kei Matsumoto,Tetsuya Hirose,Yuji Osaki,Nobutaka Kuroki,Masahiro Numa,53RD IEEE INTERNATIONAL MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS,IEEE,p. 133-136,2010年,研究論文(国際会議プロシーディングス)
  • Nano-Ampere CMOS Current Reference with Little Temperature Dependence Using Small Offset Voltage,Yuji Osaki,Tetsuya Hirose,Nobutaka Kuroki,Masahiro Numa,53RD IEEE INTERNATIONAL MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS,IEEE,p. 668-671,2010年,研究論文(国際会議プロシーディングス)
  • A CMOS bandgap and sub-bandgap voltage reference circuits for nanowatt power LSIs,Tetsuya Hirose,Ken Ueno,Nobutaka Kuroki,Masahiro Numa,2010 IEEE Asian Solid-State Circuits Conference, A-SSCC 2010,p. 77-80,2010年,研究論文(国際会議プロシーディングス)
  • A nano-ampere current reference circuit and its temperature dependence control by using temperature characteristics of carrier mobilities,Tetsuya Hirose,Yuji Osaki,Nobutaka Kuroki,Masahiro Numa,ESSCIRC 2010 - 36th European Solid State Circuits Conference,p. 114-117,2010年,研究論文(国際会議プロシーディングス)
  • サブスレッショルドMOSFETを用いたPTAT電流生成のための微小フローティング電圧源回路,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,映像情報メディア学会誌,Vol. 63,No. 12,p. 1877-1880,2009年12月,研究論文(学術雑誌)
  • Low-Voltage Process-Compensated VCO with On-Chip Process Monitoring and Body-Biasing Circuit Techniques,Ken Ueno,Tetsuya Hirose,Tetsuya Asai,Yoshihito Amemiya,IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES,IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG,Vol. E92A,No. 12,p. 3079-3081,2009年12月,研究論文(学術雑誌)
  • An Error Diagnosis Technique Based on Location Sets to Rectify Subcircuits,Kosuke Shioki,Narumi Okada,Toshiro Ishihara,Tetsuya Hirose,Nobutaka Kuroki,Masahiro Numa,IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES,IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG,Vol. E92A,No. 12,p. 3136-3142,2009年12月,研究論文(学術雑誌)
  • A 300 nW, 7 ppm/℃ CMOS voltage reference circuit based on subthreshold MOSFETs,UENO Ken,HIROSE Tetsuya,ASAI Tetsuya,AMEMIYA Yoshihito,IEEE Journal of Solid-State Circuits,Vol. 44,No. 7,p. 2047-2054,2009年07月,研究論文(学術雑誌)
  • A Look-ahead Active Body-biasing scheme for SOI-SRAM with dynamic V-DDM control,Kayoko Seto,Masaaki Iijima,Tetsuya Hirose,Masahiro Numa,Akira Tada,Takashi Ipposhi,IEICE ELECTRONICS EXPRESS,IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG,Vol. 6,No. 8,p. 456-460,2009年04月,研究論文(学術雑誌)
  • A Highly Sensitive Thermosensing CMOS Circuit Based on Self-Biasing Circuit Technique,Tetsuya Hirose,Atsushi Hagiwara,Tetsuya Asai,Yoshihito Amemiya,IEEJ TRANSACTIONS ON ELECTRICAL AND ELECTRONIC ENGINEERING,WILEY,Vol. 4,No. 2,p. 278-286,2009年03月,研究論文(学術雑誌)
  • Threshold-Logic Devices Consisting of Subthreshold CMOS Circuits,Taichi Ogawa,Tetsuya Hirose,Tetsuya Asai,Yoshihito Amemiya,IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES,IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG,Vol. E92A,No. 2,p. 436-442,2009年02月,研究論文(学術雑誌)
  • Threshold-logic devices consisting of subthreshold CMOS circuits,T. Ogawa,HIROSE Tetsuya,T. Asai,Y. Amemiya,IEICE Transactions on Fundamentals,Vol. E92-A,No. 2,p. 436-442,2009年02月,研究論文(学術雑誌)
  • 極低電力サブスレッショルド・ディジタル回路のオンチップ遅延バラツキ補正技術(アナログ,アナデジ混載,RF及びセンサインタフェース回路),大崎 勇士,廣瀬 哲也,松本 啓,黒木 修隆,沼 昌宏,映像情報メディア学会技術報告,一般社団法人 映像情報メディア学会,Vol. 33,p. 165-170,2009年,研究論文(研究会,シンポジウム資料等)
  • A 300 nW, 7 ppm/degrees C CMOS Voltage Reference Circuit based on Subthreshold MOSFETs,Ken Ueno,Tetsuya Hirose,Tetsuya Asai,Yoshihito Amemiya,PROCEEDINGS OF THE ASP-DAC 2009: ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 2009,IEEE,p. 95-+,2009年,研究論文(国際会議プロシーディングス)
  • On-Chip PVT Compensation Techniques for Low-Voltage CMOS Digital LSIs,Yusuke Tsugita,Ken Ueno,Tetsuya Asai,Yoshihito Amemiya,Tetsuya Hirose,ISCAS: 2009 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-5,IEEE,p. 1565-+,2009年,研究論文(国際会議プロシーディングス)
  • Switching-Voltage Detection and Compensation Circuits for Ultra-Low-Voltage CMOS Inverters,Kei Matsumoto,Tetsuya Hirose,Yuji Osaki,Nobutaka Kuroki,Masahiro Numa,2009 52ND IEEE INTERNATIONAL MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1 AND 2,IEEE,p. 483-486,2009年,研究論文(国際会議プロシーディングス)
  • Delay-Compensation Techniques for Ultra-Low-Power Subthreshold CMOS Digital LSIs,Yuji Osaki,Tetsuya Hirose,Kei Matsumoto,Nobutaka Kuroki,Masahiro Numa,2009 52ND IEEE INTERNATIONAL MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1 AND 2,IEEE,p. 503-506,2009年,研究論文(国際会議プロシーディングス)
  • 低電圧CMOSディジタル回路のプロセスバラツキ補正技術,次田 祐輔,廣瀬 哲也,上野 憲一,浅井 哲也,雨宮 好仁,映像情報メディア学会誌,Vol. 63,No. 11,p. 1667-1670,2009年,研究論文(学術雑誌)
  • Noise-induced synchronization among sub-RF CMOS analog oscillators for skew-free clock distribution,Akira Utagawa,Tetsuya Asai,Tetsuya Hirose,Yoshihito Amemiya,IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES,IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG,Vol. E91A,No. 9,p. 2475-2481,2008年09月,研究論文(学術雑誌)
  • Non-linear phenomena in electronic systems consisting of coupled single-electron oscillators,Andrew Kilinga Kikombo,Tetsuya Hirose,Tetsuya Asai,Yoshihito Amemiya,CHAOS SOLITONS & FRACTALS,PERGAMON-ELSEVIER SCIENCE LTD,Vol. 37,No. 1,p. 100-107,2008年07月,研究論文(学術雑誌)
  • Temperature-compensated CMOS current reference circuit for ultralow-power subthreshold LSIs,Tetsuya Hirose,Tetsuya Asai,Yoshihito Amemiya,IEICE ELECTRONICS EXPRESS,IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG,Vol. 5,No. 6,p. 204-210,2008年03月,研究論文(学術雑誌)
  • MOSFETのサブスレッショルド特性を利用した超低消費電力CMOS参照電圧源回路(アナログ,アナデジ混載,RF及びセンサインタフェース回路),上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,映像情報メディア学会技術報告,一般社団法人 映像情報メディア学会,Vol. 32,p. 55-60,2008年,研究論文(その他学術会議資料等)
  • 低電圧CMOSディジタル回路の特性バラツキ補償技術の構築(アナログ,アナデジ混載,RF及びセンサインタフェース回路),次田 祐輔,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,映像情報メディア学会技術報告,一般社団法人 映像情報メディア学会,Vol. 32,p. 49-54,2008年,研究論文(その他学術会議資料等)
  • Analog CMOS circuits implementing neural segmentation model based on symmetric STDP learning,Gessyca Maria Tovar,Eric Shun Fukuda,Tetsuya Asai,Tetsuya Hirose,Yoshihito Amemiya,NEURAL INFORMATION PROCESSING, PART II,SPRINGER-VERLAG BERLIN,Vol. 4985,No. PART 2,p. 117-+,2008年,研究論文(国際会議プロシーディングス)
  • A 46-ppm/degrees C Temperature and Process Compensated Current Reference with On-Chip Threshold Voltage Monitoring Circuit,Ken Ueno,Tetsuya Hirose,Tetsuya Asai,Yoshihito Amemiya,2008 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE,IEEE,p. 161-+,2008年,研究論文(国際会議プロシーディングス)
  • A 0.3-mu W, 7 ppm/degrees C CMOS Voltage Reference Circuit for On-Chip Process Monitoring in Analog Circuits,Ken Ueno,Tetsuya Hirose,Tetsuya Asai,Yoshihito Amemiya,ESSCIRC 2008: PROCEEDINGS OF THE 34TH EUROPEAN SOLID-STATE CIRCUITS CONFERENCE,IEEE,p. 398-+,2008年,研究論文(国際会議プロシーディングス)
  • On digital LSI circuits exploiting collision-based fusion gates,Kazuhito Yamada,Tetsuya Asai,Tetsuya Hirose,Yoshito Amemiya,INTERNATIONAL JOURNAL OF UNCONVENTIONAL COMPUTING,OLD CITY PUBLISHING INC,Vol. 4,No. 1,p. 45-59,2008年,研究論文(学術雑誌)
  • Critical temperature sensor based on oscillatory neuron models,G. M. Tovar,T. Asai,HIROSE Tetsuya,Y. Amemiya,Journal of Signal Processing,〔信号処理学会〕,Vol. vol. 12, no. 1, pp. 17-24,No. 1,p. 17-24,2008年01月,研究論文(学術雑誌)
  • A subthreshold CMOS circuit for a piecewise linear neuromorphic oscillator with current-mode low-pass filters,Kazuki Nakada,Tetsuya Asai,Tetsuya Hirose,Hatsuo Hayashi,Yoshihito Amemiya,NEUROCOMPUTING,ELSEVIER,Vol. 71,No. 1-3,p. 3-12,2007年12月,研究論文(学術雑誌)
  • Pulsed neural networks consisting of single-flux-quantum spiking neurons,HIROSE Tetsuya,T. Asai,Y. Amemiya,Physica C,Vol. 463-465,No. SUPPL.,p. 1072-1075,2007年10月01日,研究論文(学術雑誌)
  • An inhibitory neural-network circuit exhibiting noise shaping with subthreshold MOS neuron circuits,Akira Utagawa,Tetsuya Asai,Tetsuya Hirose,Yoshihito Amemiya,IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES,IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG,Vol. E90A,No. 10,p. 2108-2115,2007年10月,研究論文(学術雑誌)
  • Noise-shaping pulse-density modulation in inhibitory neural networks with subthreshold neuron circuits,Akira Utagawa,Tetsuya Asai,Tetsuya Hirose,Yoshihito Amemiya,International Congress Series,Vol. 1301,p. 71-74,2007年07月,研究論文(学術雑誌)
  • A CMOS reaction-diffusion device using minority-carrier diffusion in semiconductors,Motoyoshi Takahashi,Tetsuya Asai,Tetsuya Hirose,Yoshihito Amemiya,INTERNATIONAL JOURNAL OF BIFURCATION AND CHAOS,WORLD SCIENTIFIC PUBL CO PTE LTD,Vol. 17,No. 5,p. 1713-1719,2007年05月,研究論文(学術雑誌)
  • CMOS smart sensor for monitoring the quality of perishables,Ken Ueno,Tetsuya Hirose,Tetsuya Asai,Yoshihito Amemiya,IEEE JOURNAL OF SOLID-STATE CIRCUITS,IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC,Vol. 42,No. 4,p. 798-803,2007年04月,研究論文(学術雑誌)
  • Floating millivolt reference for PTAT current generation in subthreshold MOS LSIs,Ken Ueno,Tetsuya Hirose,Tetsuya Asai,Yoshihito Amemiya,2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11,IEEE,p. 3748-3751,2007年,研究論文(国際会議プロシーディングス)
  • Neuromorphic CMOS circuits implementing a novel neural segmentation model based on symmetric STDP learning,Gessyca Maria Tovar,Eric Shun Fukuda,Tetsuya Asai,Tetsuya Hirose,Yoshihito Amemiya,2007 IEEE INTERNATIONAL JOINT CONFERENCE ON NEURAL NETWORKS, VOLS 1-6,IEEE,p. 897-+,2007年,研究論文(国際会議プロシーディングス)
  • Floating millivolt reference for PTAT current generation in Subthreshold MOS LSIs,Ken Ueno,Tetsuya Hirose,Tetsuya Asai,Yoshihito Amemiya,Proceedings - IEEE International Symposium on Circuits and Systems,p. 3748-3751,2007年,研究論文(国際会議プロシーディングス)
  • Neuromorphic CMOS circuits implementing a novel neural segmentation model based on symmetric STDP learning,E. S. Fukuda,G. M. Tovar,T. Asai,HIROSE Tetsuya,Y. Amemiya,Journal of Signal Processing,Vol. vol. 11, no. 6, pp. 439-444,p. 897-901,2007年,研究論文(国際会議プロシーディングス)
  • Power-supply circuits for ultralow-power subthreshold MOS-LSIs,Tetsuya Hirose,Tetsuya Asai,Yoshihito Amemiya,IEICE ELECTRONICS EXPRESS,IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG,Vol. 3,No. 22,p. 464-468,2006年11月,研究論文(学術雑誌)
  • Neuromorphic MOS circuits exhibiting precisely timed synchronization with silicon spiking neurons and depressing synapses,G. M. Tovar,HIROSE Tetsuya,T. Asai,Y. Amemiya,Journal of Signal Processing,〔信号処理学会〕,Vol. vol. 10, no. 6, pp. 391-397,No. 6,p. 391-397,2006年11月,研究論文(学術雑誌)
  • Spiking neuron devices consisting of single-flux-quantum circuits,Tetsuya Hirose,Tetsuya Asai,Yoshihito Amemiya,PHYSICA C-SUPERCONDUCTIVITY AND ITS APPLICATIONS,ELSEVIER SCIENCE BV,Vol. 445,No. 1-2,p. 1020-1023,2006年10月,研究論文(学術雑誌)
  • 弱反転MOSFETを用いた温度検出スイッチ回路,萩原 淳史,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会論文誌,,一般社団法人電子情報通信学会,Vol. vol. J89-C, no. 10, pp. 654-65,No. 10,p. 654-656,2006年10月,研究論文(学術雑誌)
  • Single-flux-quantum circuits for spiking neuron devices,Tetsuya Hirose,Ken Ueno,Tetsuya Asai,Yoshihito Amemiya,International Congress Series,Vol. 1291,p. 221-224,2006年06月,研究論文(学術雑誌)
  • A CMOS watchdog sensor for certifying the quality of various perishables with a wider activation energy,K. Ueno,HIROSE Tetsuya,T. Asai,Y. Amemiya,IEICE Trans. Fundamentals,Vol. E89-A,No. 4,p. 902-907,2006年04月,研究論文(国際会議プロシーディングス)
  • Neuronal synchrony detection on signle-electron neural networks,T. Oya,T. Asai,R. Kagaya,HIROSE Tetsuya,Y. Amemiya,Chaos, Solitons and Fractals,Vol. 27,No. 4,p. 887-894,2006年02月,研究論文(学術雑誌)
  • Critical temperature switch: A highly sensitive thermosensing device consisting of subthreshold MOSFET circuits,Atsushi Hagiwara,Tetsuya Hirose,Tetsuya Asai,Yoshihito Amemiya,2006 INTERNATIONAL SYMPOSIUM ON INTELLIGENT SIGNAL PROCESSING AND COMMUNICATIONS, VOLS 1 AND 2,IEEE,p. 99-102,2006年,研究論文(国際会議プロシーディングス)
  • Ultralow-power smart temperature sensor with subthreshold CMOS circuits,Ken Ueno,Tetsuya Hirose,Tetsuya Asai,Yoshihito Amemiya,2006 INTERNATIONAL SYMPOSIUM ON INTELLIGENT SIGNAL PROCESSING AND COMMUNICATIONS, VOLS 1 AND 2,IEEE,p. 505-+,2006年,研究論文(国際会議プロシーディングス)
  • Power supply circuits for ultralow-power subthreshold CMOS smart sensor LSIs,Tetsuya Hirose,Tetsuya Asai,Yoshihito Amemiya,2006 INTERNATIONAL SYMPOSIUM ON INTELLIGENT SIGNAL PROCESSING AND COMMUNICATIONS, VOLS 1 AND 2,IEEE,p. 513-+,2006年,研究論文(国際会議プロシーディングス)
  • A watchdog sensor for assuring the quality of various perishables with subthreshold CMOS circuits,Ken Ueno,Tetsuya Hirose,Tetsuya Asai,Yoshihito Amemiya,IEEE Symposium on VLSI Circuits, Digest of Technical Papers,p. 156-157,2006年,研究論文(国際会議プロシーディングス)
  • A subthreshold analog MOS circuit for Lotka-Volterra chaotic oscillator,T. Asai,T. Kamiya,HIROSE Tetsuya,Y. Amemiya,International Journal of Bifurcation and Chaos,Vol. 16,No. 1,p. 207-212,2006年01月,研究論文(学術雑誌)
  • Ultralow-power current reference circuit with low temperature dependence,HIROSE Tetsuya,T. Matsuoka,K. Taniguchi,T. Asai,Y. Amemiya,IEICE Trans. Electronics,Vol. E88-C,No. 6,p. 1142-1147,2005年06月,研究論文(学術雑誌)
  • A quadrilateral-object composer for binary images with reaction-diffusion cellular automata,T. Asai,M. Ikebe,HIROSE Tetsuya,Y. Amemiya,International Journal of Parallel, Emergent and Distributed Systems,Vol. 20,No. 1,p. 57-67,2005年03月,研究論文(国際会議プロシーディングス)
  • Analog reaction-diffusion chip imitating Belousov-Zhabotinsky reaction with hardware Oregonator model,T. Asai,Y. Kanazawa,HIROSE Tetsuya,Y. Amemiya,International Journal of Unconventional Computing,Vol. vol. 1, no. 2, pp. 123-147,No. 2,p. 123-147,2005年03月,研究論文(学術雑誌)
  • Analog CMOS implementation of a neuromorphic oscillator with current-mode low-pass filters,K Nakada,T Asai,T Hirose,Y Amemiya,2005 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS), VOLS 1-6, CONFERENCE PROCEEDINGS,IEEE,p. 1923-1926,2005年,研究論文(国際会議プロシーディングス)
  • Ultralow-power temperature-insensitive current reference circuit,Tetsuya Hirose,Tetsuya Asai,Yoshihito Amemiya,Toshimasa Matsuoka,Kenji Taniguchi,Proceedings of IEEE Sensors,Vol. 2005,p. 1205-1208,2005年,研究論文(国際会議プロシーディングス)
  • Analog current-mode CMOS implementation of central pattern generator for robot locomotion,Kazuki Nakada,Tetsuya Asai,Tetsuya Hirose,Yoshihito Amemiya,Proceedings of the International Joint Conference on Neural Networks,Vol. 1,p. 639-644,2005年,研究論文(国際会議プロシーディングス)
  • A CMOS watch-dog sensor for guaranteeing the quality of perishables,Ken Ueno,Tetsuya Hirose,Tetsuya Asai,Yoshihito Amemiya,Proceedings of IEEE Sensors,Vol. 2005,p. 1209-1212,2005年,研究論文(国際会議プロシーディングス)
  • Analog cmos implementation of a neuromorphic oscillator with current-mode low-pass filters,Kazuki Nakada,Tetsuya Asai,Tetsuya Hirose,Yoshihito Amemiya,Proceedings - IEEE International Symposium on Circuits and Systems,p. 1923-1926,2005年,研究論文(国際会議プロシーディングス)
  • A CMOS IF variable gain amplifier with exponential gain control,S. Cha,HIROSE Tetsuya,M. Haruoka,T. Matsuoka,K. Taniguchi,IEICE Trans. Fundamentals,Vol. E88-A,No. 2,p. 410-414,2005年,研究論文(学術雑誌)
  • Watch-dog circuit for quality guarantee with subthreshold MOSFET current,HIROSE Tetsuya,R. Yoshimura,T. Ido,T. Matsuoka,K. Taniguchi,IEICE Trans. Electronics,Vol. E87-C,No. 11,p. 1910-1914,2004年11月,研究論文(学術雑誌)
  • Watchdog Circuit for Product Degradation Monitor using Subthreshold MOS Current,Tetsuya Hirose,Ryuji Yoshimura,Toru Ido,Toshimasa Matsuoka,Kenji Taniguchi,Ext. Abst. International Conference on Solid State Devices and Materials, Sep. 14-17, 2004, Tokyo, Japan, pp. 150-151.,2004年09月,研究論文(国際会議プロシーディングス)
  • Reaction-diffusion chip implementing excitable lattices with multiple-valued cellular automata,H. Matsubara,T. Asai,HIROSE Tetsuya,Y. Amemiya,IEICE Electronics Express,Vol. 1,No. 9,p. 248-252,2004年08月,研究論文(学術雑誌)
  • Calculation of boron segregation at the Si(100)/SiO2 interface,M. Furuhashi,HIROSE Tetsuya,H. Tsuji,M. Tachi,K. Taniguchi,The European Physical Journal - Applied Physics,Vol. 27,No. 1-3,p. 163-166,2004年07月,研究論文(国際会議プロシーディングス)
  • A MOS circuit for depressing synapse and its application to contrast-invariant pattern classification and synchrony detection,Tetsuya Asai,Yusuke Kanazawa,Tetsuya Hirose,Yoshihito Amemiya,IEEE International Conference on Neural Networks - Conference Proceedings,Vol. 4,p. 2619-2624,2004年,研究論文(国際会議プロシーディングス)
  • A quadrilateral-object composer for binary images with reaction-diffusion cellular automata,Masayuki Ikebe,Tetsuya Asai,Tetsuya Hirose,Yoshihito Amemiya,Proceedings of 2004 IEEE Asia-Pacific Conference on Advanced System Integrated Circuits,p. 406-409,2004年,研究論文(国際会議プロシーディングス)
  • Digital VLSI implementation of ultra-discrete cellular automata for simulating traffic flow,Kazuki Nakada,Tetsuya Asai,Tetsuya Hirose,Yoshihito Amemiya,IEEE International Symposium on Communications and Information Technologies: ISCIT 2004,Vol. 1,p. 394-397,2004年,研究論文(国際会議プロシーディングス)
  • ポリSi TFTの過渡特性における自己発熱及びキンク効果の影響の分離評価,多田 憲史,廣瀬 哲也,松岡 俊匡,谷口 研二,前田 和宏,酒井 保,久保田 靖,今井 繁規,電子情報通信学会論文誌,電子情報通信学会,Vol. vol. J87-C, no. 1, pp. 186-187,No. 1,p. 186-187,2004年01月,研究論文(学術雑誌)
  • A MOS circuit for bursting neural oscillators with excitable Oregonators,Y. Kanazawa,T. Asai,HIROSE Tetsuya,Y. Amemiya,IEICE Electronics Express,Vol. 1,No. 4,p. 73-76,2004年,研究論文(学術雑誌)
  • Atomic configuration of boron pile-up at the Si/SiO2 interface,M. Furuhashi,HIROSE Tetsuya,H. Tsuji,M. Tachi,K. Taniguchi,IEICE Electronics Express,Vol. 1,No. 6,p. 126-130,2004年,研究論文(学術雑誌)
  • 高精度品質保証期限モニタ回路,吉村 隆治,廣瀬 哲也,井戸 徹,松岡 俊匡,谷口 研二,電子情報通信学会論文誌,電子情報通信学会,Vol. vol. J86-C, no. 9, pp. 1041-10,No. 9,p. 1041-1043,2003年09月,研究論文(学術雑誌)
  • Photoluminescence study of {311} defect-precursors in self-implanted silicon,H. Tsuji,R. Kim,HIROSE Tetsuya,T. Shano,Y. Kamakura,K. Taniguchi,Materials Science and Engineering B,Vol. 91-92,p. 43-45,2002年04月,研究論文(国際会議プロシーディングス)
  • Atomic configuration study of implanted F in Si based on experimental evidence and ab initio calculations,HIROSE Tetsuya,T. Shano,R. Kim,H. Tsuji,Y. Kamakura,K. Taniguchi,Materials Science and Engineering B,Vol. 91-92,p. 148-151,2002年04月,研究論文(国際会議プロシーディングス)
  • Photoluminescence and ab initio study of {311} defect nucleation in Si,H. Tsuji,R. Kim,T. Hirose,M. Furuhashi,M. Tachi,K. Taniguchi,Extended Abstracts of the 3rd International Workshop on Junction Technology, IWJT 2002,p. 49-50,2002年,研究論文(国際会議プロシーディングス)
  • Influences of point and extended defects on As diffusion in Si,R. Kim,HIROSE Tetsuya,T. Shano,H. Tsuji,K. Taniguchi,Japanese Journal of Applied Physics,Vol. 41,No. 1,p. 227-231,2002年,研究論文(学術雑誌)
  • Anomalous phosphorus diffusion in Si during postimplantation annealing,R. Kim,Y. Furuta,S. Hayashi,HIROSE Tetsuya,T. Shano,H. Tsuji,K. Taniguchi,Applied Physics Letters,Vol. 78,No. 24,p. 3818-3820,2001年06月11日,研究論文(学術雑誌)
  • Realization of ultra-shallow junction: Suppressed boron diffusion and activation by optimized fluorine co-implantation,Toshifumi Shano,Ryangsu Kim,Tetsuya Hirose,Yoshikazu Furuta,Hiroshi Tsuji,Masayuki Furuhashi,Kenji Taniguchi,Technical Digest-International Electron Devices Meeting,p. 821-824,2001年,研究論文(学術雑誌)
  • Modeling of arsenic transient enhanced diffusion and background boron segregation in low-energy As<sup>+</sup> implanted Si,R. Kim,T. Aoki,T. Hirose,Y. Furuta,S. Hayashi,T. Shano,K. Taniguchi,Technical Digest - International Electron Devices Meeting,p. 523-525,2000年,研究論文(国際会議プロシーディングス)
  • Degradation of ultra-thin gate oxides accompanied by hole direct tunneling: Can we keep long-term reliability of p-MOSFETs?,K. Deguchi,S. Uno,A. Ishida,T. Hirose,Y. Kamakura,K. Taniguchi,Technical Digest - International Electron Devices Meeting,p. 327-330,2000年,研究論文(国際会議プロシーディングス)

MISC

  • アクティブダイオードに向けたヒステリシスコンパレータの設計,松本 香,廣瀬 哲也,浅野 大樹,辻 佑斗,中澤 勇一郎,黒木 修隆,沼 昌宏,回路とシステムワークショップ論文集 Workshop on Circuits and Systems,[電子情報通信学会],Vol. 31,p. 74-79,2018年05月17日
  • 時間計測アプリケーションに向けた超低電力フルオンチップ電流比較型RC発振器 (集積回路),浅野 大樹,廣瀬 哲也,椿 啓志,三好 太朗,尾崎 年洋,黒木 修隆,沼 昌宏,電子情報通信学会技術研究報告 = IEICE technical report : 信学技報,電子情報通信学会,Vol. 116,No. 446,p. 81-86,2017年01月30日
  • 近似を導入した簡略化アルゴリズムに基づくRNN回路のリソース削減と高効率化 (リコンフィギャラブルシステム),村田 大智,廣瀬 哲也,黒木 修隆,沼 昌宏,電子情報通信学会技術研究報告 = IEICE technical report : 信学技報,電子情報通信学会,Vol. 116,No. 210,p. 69-74,2016年09月05日
  • 高速起動を特徴とした間欠動作型VLSIシステム用32-MHzオンチップクロック源回路 (情報センシング),浅野 大樹,廣瀬 哲也,三好 太朗,椿 啓志,尾崎 年洋,黒木 修隆,沼 昌宏,映像情報メディア学会技術報告 = ITE technical report,映像情報メディア学会,Vol. 40,No. 24,p. 3-8,2016年08月
  • 高速起動を特徴とした間欠動作型VLSIシステム用32-MHzオンチップクロック源回路 (集積回路),浅野 大樹,廣瀬 哲也,三好 太朗,椿 啓志,尾崎 年洋,黒木 修隆,沼 昌宏,電子情報通信学会技術研究報告 = IEICE technical report : 信学技報,電子情報通信学会,Vol. 116,No. 173,p. 3-8,2016年08月01日
  • H-022 Dedormable Part Models による映像中の異常行動検出(H分野:画像認識・メディア理解,一般論文),杉本 有,黒木 修隆,廣瀬 哲也,沼 昌宏,情報科学技術フォーラム講演論文集,FIT(電子情報通信学会・情報処理学会)運営委員会,Vol. 14,No. 3,p. 177-178,2015年08月24日
  • I-022 連写画像におけるノイズ除去のための三次元NL-Means法(I分野:グラフィクス・画像,一般論文),小堀 寛和,黒木 修隆,廣瀬 哲也,沼 昌宏,情報科学技術フォーラム講演論文集,FIT(電子情報通信学会・情報処理学会)運営委員会,Vol. 14,No. 3,p. 245-246,2015年08月24日
  • H-023 事前教師あり学習を適用したNetwork in Networkによる画像認識の高精度化(H分野:画像認識・メディア理解,一般論文),古井 秀弥,黒木 修隆,廣瀬 哲也,沼 昌宏,情報科学技術フォーラム講演論文集,FIT(電子情報通信学会・情報処理学会)運営委員会,Vol. 14,No. 3,p. 179-180,2015年08月24日
  • I-021 局所的加重平均を用いた医用断層画像のノイズ除去手法(I分野:グラフィクス・画像,一般論文),加藤 裕,黒木 修隆,廣瀬 哲也,沼 昌宏,情報科学技術フォーラム講演論文集,FIT(電子情報通信学会・情報処理学会)運営委員会,Vol. 14,No. 3,p. 243-244,2015年08月24日
  • C-010 自己学習型超解像に適用するK-meansクラスタリング処理のハードウェアによる実現(C分野:ハードウェア・アーキテクチャ,一般論文),村田 大智,切山 亜弓,雫 譲,廣瀬 哲也,黒木 修隆,沼 昌宏,情報科学技術フォーラム講演論文集,FIT(電子情報通信学会・情報処理学会)運営委員会,Vol. 14,No. 1,p. 253-254,2015年08月24日
  • 招待講演 エネルギーハーベスティングのシステム回路設計 : 高効率な昇圧回路と低消費電力なデジタル回路設計 (集積回路),岡田 光司,雫 譲,廣瀬 哲也,電子情報通信学会技術研究報告 = IEICE technical report : 信学技報,電子情報通信学会,Vol. 115,No. 124,p. 47-52,2015年07月02日
  • 招待講演 エネルギーハーベスティングのシステム回路設計 : 高効率な昇圧回路と低消費電力なデジタル回路設計 (情報センシング),岡田 光司,雫 譲,廣瀬 哲也,映像情報メディア学会技術報告 = ITE technical report,映像情報メディア学会,Vol. 39,No. 22,p. 47-52,2015年07月
  • リアルタイム3次元モデリングシステムiClayの実現に向けた1mm3級センサノードの要素技術開発,河野 仁,鵜川 翔平,信田 龍哉,塚元 瑞穂,田中 勇気,中島 康祐,伊藤 雄一,廣瀬 哲也,橋本 昌宜,電子情報通信学会 集積回路研究専門委員会 LSIとシステムのワークショップ2014,電子情報通信学会,p. 1-18,2014年05月,講演資料等(セミナー,チュートリアル,講習,講義他)
  • 画質評価のための注視重要度の関数近似 (イメージ・メディア・クオリティ),泉 直孝,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会技術研究報告 = IEICE technical report : 信学技報,一般社団法人電子情報通信学会,Vol. 113,No. 350,p. 11-14,2013年12月13日
  • I-033 事例参照型超解像における幾何学模様の学習(I分野:グラフィクス・画像,一般論文),土川 健斗,菅原 佑貴,泉 直孝,黒木 修隆,廣瀬 哲也,沼 昌宏,情報科学技術フォーラム講演論文集,FIT(電子情報通信学会・情報処理学会)運営委員会,Vol. 12,No. 3,p. 317-318,2013年08月20日
  • I-034 超解像と誤差帰還を用いた電子ズームの構成方法(I分野:グラフィクス・画像,一般論文),菅原 佑貴,土川 健斗,黒木 修隆,廣瀬 哲也,沼 昌宏,情報科学技術フォーラム講演論文集,FIT(電子情報通信学会・情報処理学会)運営委員会,Vol. 12,No. 3,p. 319-320,2013年08月20日
  • H-015 HOGを用いた識別器の構成方法と歩行者検出への応用(H分野:画像認識・メディア理解,一般論文),後藤 弘樹,井尻 将太,大浦 淳貴,黒木 修隆,廣瀬 哲也,沼 昌宏,情報科学技術フォーラム講演論文集,FIT(電子情報通信学会・情報処理学会)運営委員会,Vol. 12,No. 3,p. 123-124,2013年08月20日
  • 低電圧エネルギー・ハーベスティングに向けた0.27-V入力,効率75%,オンチップ・チャージポンプ回路,長井 崇浩,廣瀬 哲也,椿 啓志,回路とシステムワークショップ論文集 Workshop on Circuits and Systems,[電子情報通信学会],Vol. 26,p. 319-324,2013年07月29日
  • C-12-61 低参照電圧を用いた実時間計測用弛張発振回路(C-12.集積回路),椿 啓志,廣瀬 哲也,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2013,No. 2,p. 132-132,2013年03月05日
  • C-12-48 適応バイアス技術を用いた超低電力・高速Rail-to-Railオペアンプ(C-12.集積回路),尾崎 年洋,廣瀬 哲也,椿 啓志,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2013,No. 2,p. 119-119,2013年03月05日
  • 超解像を用いたJPEGコーデックに関する一検討 (画像工学),清川 正徳,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会技術研究報告 : 信学技報,一般社団法人電子情報通信学会,Vol. 112,No. 335,p. 27-30,2012年12月06日
  • 超解像を用いたJPEGコーデックに関する一検討 (放送技術),清川 正徳,黒木 修隆,廣瀬 哲也,映像情報メディア学会技術報告,映像情報メディア学会,Vol. 36,No. 53,p. 27-30,2012年12月
  • 超解像を用いたJPEGコーデックに関する一検討,清川 正徳,黒木 修隆,廣瀬 哲也,沼 昌宏,研究報告オーディオビジュアル複合情報処理(AVM),Vol. 2012,No. 6,p. 1-4,2012年11月29日
  • カラー画像の主観的品質とSSIMの関係について,泉 直孝,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会技術研究報告. IMQ, イメージ・メディア・クオリティ : IEICE technical report,一般社団法人電子情報通信学会,Vol. 112,No. 234,p. 7-10,2012年10月05日
  • H-004 野球中継映像におけるスコアテロップのレイアウト認識(映像解析・照明,H分野:画像認識・メディア理解),貞元 太志,黒木 修隆,廣瀬 哲也,沼 昌宏,情報科学技術フォーラム講演論文集,FIT(電子情報通信学会・情報処理学会)運営委員会,Vol. 11,No. 3,p. 123-124,2012年09月04日
  • I-005 Bag-of-Visual Words表現を用いた放送映像中の類似シーン検出(画像特徴・検出,I分野:グラフィクス・画像),井尻 将太,貞元 太志,黒木 修隆,廣瀬 哲也,沼 昌宏,情報科学技術フォーラム講演論文集,FIT(電子情報通信学会・情報処理学会)運営委員会,Vol. 11,No. 3,p. 243-244,2012年09月04日
  • I-011 階層的超解像による電子ズームの構成方法(画像復元・超解像,I分野:グラフィクス・画像),菅原 佑貴,橋本 明信,黒木 修隆,廣瀬 哲也,沼 昌宏,情報科学技術フォーラム講演論文集,FIT(電子情報通信学会・情報処理学会)運営委員会,Vol. 11,No. 3,p. 261-262,2012年09月04日
  • H-029 組合せ最適化アルゴリズムに基づくオブジェクトとラベルのレイアウト認識(文字認識・物体認識,H分野:画像認識・メディア理解),大浦 淳貴,辻 亮弥,黒木 修隆,廣瀬 哲也,沼 昌宏,情報科学技術フォーラム講演論文集,FIT(電子情報通信学会・情報処理学会)運営委員会,Vol. 11,No. 3,p. 181-182,2012年09月04日
  • B-004 ウェーブレット変換に基づく学習型超解像のGPUによる高速化手法(並列処理,B分野:ソフトウェア),坂本 博之,佐々木 仁,雫 譲,黒木 修隆,廣瀬 哲也,沼 昌宏,情報科学技術フォーラム講演論文集,FIT(電子情報通信学会・情報処理学会)運営委員会,Vol. 11,No. 1,p. 173-174,2012年09月04日
  • B-005 マルチモーダル入力に対応した重み付き多数決による識別器のGPUによる高速化(並列処理,B分野:ソフトウェア),佐々木 仁,坂本 博之,雫 譲,黒木 修隆,廣瀬 哲也,沼 昌宏,情報科学技術フォーラム講演論文集,FIT(電子情報通信学会・情報処理学会)運営委員会,Vol. 11,No. 1,p. 175-176,2012年09月04日
  • C-12-24 PVTバラツキ耐性を持つシングルスロープADコンバータ(ADC・DAC,C-12. 集積回路,一般セッション),大崎 勇士,廣瀬 哲也,黒木 修隆,沼 昌宏,電子情報通信学会ソサイエティ大会講演論文集,一般社団法人電子情報通信学会,Vol. 2012,No. 2,p. 97-97,2012年08月28日
  • C-12-23 超低電圧ダイナミックコンパレータのためのオフセット電圧補正回路の高精度化(ADC・DAC,C-12. 集積回路,一般セッション),増田 長太郎,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会ソサイエティ大会講演論文集,一般社団法人電子情報通信学会,Vol. 2012,No. 2,p. 96-96,2012年08月28日
  • C-12-18 適応バイアス技術を用いた超低電力CMOSオペアンプの評価(アナログ回路枝術,C-12. 集積回路,一般セッション),鶴屋 由美子,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,小林 修,電子情報通信学会ソサイエティ大会講演論文集,一般社団法人電子情報通信学会,Vol. 2012,No. 2,p. 91-91,2012年08月28日
  • C-12-17 コンパレータのバラツキ補正技術を用いた弛張発振回路の評価(アナログ回路枝術,C-12. 集積回路,一般セッション),椿 啓志,廣瀬 哲也,大崎 勇士,志賀 誠一郎,黒木 修隆,沼 昌宏,電子情報通信学会ソサイエティ大会講演論文集,一般社団法人電子情報通信学会,Vol. 2012,No. 2,p. 90-90,2012年08月28日
  • カラー画像の品質評価に関する検討,泉 直孝,中矢 知宏,河嶋 和美,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会技術研究報告. PRMU, パターン認識・メディア理解,一般社団法人電子情報通信学会,Vol. 111,No. 499,p. 193-198,2012年03月22日
  • C-12-53 適応バイアス型コンパレータを用いたSC型DC-DCコンバータ(C-12.集積回路,一般セッション),中村 大悟,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2012,No. 2,p. 125-125,2012年03月06日
  • C-12-49 超低電圧ダイナミックコンパレータ回路のオフセットキャリブレーション手法の検討(C-12.集積回路,一般セッション),増田 長太郎,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2012,No. 2,p. 121-121,2012年03月06日
  • C-12-52 低電圧カレントミラー回路を用いた高精度ナノアンペア電流源(C-12.集積回路,一般セッション),北村 準也,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2012,No. 2,p. 124-124,2012年03月06日
  • C-12-54 準連続モードで動作するデジタル制御昇圧回路(C-12.集積回路,一般セッション),斉藤 友輔,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2012,No. 2,p. 126-126,2012年03月06日
  • C-12-42 超低電力オペアンプの高速化技術(C-12.集積回路,一般セッション),鶴屋 由美子,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,小林 修,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2012,No. 2,p. 114-114,2012年03月06日
  • A-1-19 光エネルギー・ハーベスティングに向けたチャージポンプ回路の負荷電流特性改善(A-1.回路とシステム,一般セッション),長井 崇浩,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2012,p. 19-19,2012年03月06日
  • A-1-20 逆流電流削減による差動型整流回路の変換効率改善(A-1.回路とシステム,一般セッション),塚元 瑞穂,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,橋本 昌宜,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2012,p. 20-20,2012年03月06日
  • A-1-45 高分解能SAR ADCに向けた容量DACの面積削減の検討(A-1.回路とシステム,一般セッション),山内 貴仁,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2012,p. 45-45,2012年03月06日
  • Signal-Dependent Analog-to-Digital Conversion based on MINIMAX Sampling (集積回路),HOMJAKOVS Igors,HASHIMOTO Masanori,HIROSE Tetsuya,ONOYE Takao,電子情報通信学会技術研究報告 : 信学技報,一般社団法人電子情報通信学会,Vol. 111,No. 352,p. 105-107,2011年12月15日
  • 学習型超解像のための高能率な辞書 (画像工学),橋本 明信,中矢 知宏,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会技術研究報告 : 信学技報,一般社団法人電子情報通信学会,Vol. 111,No. 284,p. 35-40,2011年11月11日
  • I-025 学習型超解像における高周波パッチの探索および生成手法(超解像,I分野:グラフィクス・画像),中矢 知宏,近松 慎伍,黒木 修隆,廣瀬 哲也,沼 昌宏,情報科学技術フォーラム講演論文集,FIT(電子情報通信学会・情報処理学会)運営委員会,Vol. 10,No. 3,p. 337-338,2011年09月07日
  • H-010 マルチモーダル入力に対応した重み付き多数決による識別器(一般物体認識,H分野:画像認識・メディア理解),辻 亮弥,西田 喬士,百崎 将志,黒木 修隆,廣瀬 哲也,沼 昌宏,情報科学技術フォーラム講演論文集,FIT(電子情報通信学会・情報処理学会)運営委員会,Vol. 10,No. 3,p. 123-124,2011年09月07日
  • C-12-6 コンパレータのバラツキ補正回路を用いた弛張発振回路(アナログ要素回路,C-12.集積回路,一般セッション),志賀 誠一郎,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会ソサイエティ大会講演論文集,一般社団法人電子情報通信学会,Vol. 2011,No. 2,p. 81-81,2011年08月30日
  • C-12-51 超低電力CMOS温度センサ回路の評価(センサ、電源回路、デジタル,C-12.集積回路,一般セッション),永山 淑,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会ソサイエティ大会講演論文集,一般社団法人電子情報通信学会,Vol. 2011,No. 2,p. 126-126,2011年08月30日
  • 超低電圧ディジタル回路に向けた入出力論理補正レベルシフタ回路,大崎 勇士,廣瀬 哲也,黒木 修隆,沼 昌宏,電子情報通信学会技術研究報告. ICD, 集積回路,一般社団法人電子情報通信学会,Vol. 111,No. 151,p. 1-6,2011年07月14日
  • 基板バイアス制御を用いた超低電圧センスアンプ回路の高速化,増田 長太郎,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会技術研究報告. ICD, 集積回路,一般社団法人電子情報通信学会,Vol. 111,No. 151,p. 7-12,2011年07月14日
  • 超低電圧ディジタル回路に向けた入出力論理補正レベルシフタ回路 (情報センシング),大崎 勇士,廣瀬 哲也,黒木 修隆,映像情報メディア学会技術報告,映像情報メディア学会,Vol. 35,No. 28,p. 1-6,2011年07月
  • 基板バイアス制御を用いた超低電圧センスアンプ回路の高速化 (情報センシング),増田 長太郎,廣瀬 哲也,大崎 勇士,映像情報メディア学会技術報告,映像情報メディア学会,Vol. 35,No. 28,p. 7-12,2011年07月
  • A-1-4 超低電圧SRAM用センスアンプ回路のプリチャージ動作の高速化(A-1.回路とシステム,一般セッション),増田 長太郎,廣瀬 哲也,大崎 勇士,松本 啓,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2011,p. 4-4,2011年02月28日
  • A-1-3 極低消費電力バンドギャップリファレンス回路の高精度化(A-1.回路とシステム,一般セッション),北村 隼也,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2011,p. 3-3,2011年02月28日
  • A-1-1 熱電変換素子を用いた電力変換インターフェース回路(A-1.回路とシステム,一般セッション),斉藤 友輔,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2011,p. 1-1,2011年02月28日
  • A-1-2 サブスレッショルドLSIに適したオンチップ電源回路の検討(A-1.回路とシステム,一般セッション),中村 大悟,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2011,p. 2-2,2011年02月28日
  • C-12-63 ナノアンペア電流源回路の電流バラツキ補正(C-12.集積回路,一般セッション),磯野 航輔,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2011,No. 2,p. 135-135,2011年02月28日
  • 超低電力CMOSスマート温度センサ回路,永山淑,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集, エレクトロニクス,一般社団法人電子情報通信学会,Vol. 2,p. 106-106,2011年
  • C-12-24 書き込み安定性を向上させたサブスレッショルドSRAM(ばらつき補償・次世代回路,C-12.集積回路,一般セッション),松本 啓,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会ソサイエティ大会講演論文集,一般社団法人電子情報通信学会,Vol. 2010,No. 2,p. 85-85,2010年08月31日
  • C-12-19 TFFを用いた相補構成スイッチトキャパシタ型DC-DCコンバータ(電源回路・ノイズ対策,C-12.集積回路,一般セッション),辻川 琢也,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会ソサイエティ大会講演論文集,一般社団法人電子情報通信学会,Vol. 2010,No. 2,p. 80-80,2010年08月31日
  • I-068 局所ヒストグラムの時間変動に着目したディゾルブ検出(I分野:グラフィクス・画像,一般論文),前田 憲志,田中 孝志,黒木 修隆,廣瀬 哲也,沼 昌宏,情報科学技術フォーラム講演論文集,FIT(電子情報通信学会・情報処理学会)運営委員会,Vol. 9,No. 3,p. 435-436,2010年08月20日
  • I-026 ウェーブレット係数の主成分分析を用いた学習型超解像(I分野:グラフィクス・画像,一般論文),中矢 知宏,近松 慎伍,黒木 修隆,廣瀬 哲也,沼 昌宏,情報科学技術フォーラム講演論文集,FIT(電子情報通信学会・情報処理学会)運営委員会,Vol. 9,No. 3,p. 299-300,2010年08月20日
  • AS-1-2 超低電力サブスレッショルドCMOS回路にむけた電流源回路(AS-1.サブスレッショルドCMOS回路技術,シンポジウムセッション),廣瀬 哲也,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2010,p. "S-3"-"S-4",2010年03月02日
  • C-12-40 Source-Coupled Logic回路を用いたサブスレッショルドSRAMセルの検討(C-12.集積回路,一般セッション),松本 啓,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2010,No. 2,p. 117-117,2010年03月02日
  • C-12-44 適応バイアス技術を用いた極低消費電流コンパレータ(C-12.集積回路,一般セッション),椿 啓志,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2010,No. 2,p. 121-121,2010年03月02日
  • C-12-20 PVTバラツキ耐性を有する基準クロック発振回路(C-12.集積回路,一般セッション),磯野 航輔,廣瀬 哲也,大崎 勇士,松本 啓,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2010,No. 2,p. 97-97,2010年03月02日
  • C-12-63 サブスレッショルドCMOSディジタル回路の遅延バラツキ補正アーキテクチャの評価(C-12.集積回路,一般セッション),大崎 勇士,廣瀬 哲也,松本 啓,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2010,No. 2,p. 140-140,2010年03月02日
  • C-12-64 デューティ制御回路を用いたスイッチトキャパシタ型DC-DCコンバータ(C-12.集積回路,一般セッション),辻川 琢也,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2010,No. 2,p. 141-141,2010年03月02日
  • C-12-65 低電圧サブスレッショルドLSIに向けたリニア・レギュレータ回路(C-12.集積回路,一般セッション),永山 淑,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2010,No. 2,p. 142-142,2010年03月02日
  • 回路構造を考慮した修正箇所候補抽出に基づく論理診断手法,塩木 講輔,渡辺 浩介,岡田 匠史,石原 俊郎,廣瀬 哲也,黒木 修隆,沼 昌宏,研究報告システムLSI設計技術(SLDM),情報処理学会,Vol. 2009,No. 33,p. 1-6,2009年11月25日
  • ハイブリッド型CMOS論理構成の4-2加算器による乗算器のグリッチ削減,小暮 武,藤岡 達也,雫 譲,廣瀬 哲也,黒木 修隆,沼 昌宏,研究報告システムLSI設計技術(SLDM),情報処理学会,Vol. 2009,No. 20,p. 1-6,2009年11月25日
  • 極低消費電力LSIのためのCMOS参照電流源回路,上野 憲一,浅井 哲也,雨宮 好仁,廣瀬 哲也,電気学会研究会資料. ECT, 電子回路研究会,Vol. 2009,No. 84,p. 21-26,2009年10月29日
  • A-1-40 MOSFETのキャリア移動度温度特性を利用した基準電流源回路(A-1.回路とシステム,一般セッション),鬼頭 豊明,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2009,p. 40-40,2009年03月04日
  • A-1-42 電源電圧制御によるサブスレッショルド・ディジタル回路のプロセスバラツキ補正技術(A-1.回路とシステム,一般セッション),大崎 勇士,廣瀬 哲也,松本 啓,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2009,p. 42-42,2009年03月04日
  • A-1-38 軽負荷動作時の逆流電流損失を改善した同期整流型DC-DCコンバータ(A-1.回路とシステム,一般セッション),辻川 琢也,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2009,p. 38-38,2009年03月04日
  • A-1-41 MOSトランジスタのしきい値電圧差を利用した参照電圧源回路(A-1.回路とシステム,一般セッション),松本 啓,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2009,p. 41-41,2009年03月04日
  • A-1-39 サブスレッショルドCMOS LSIに向けたスイッチトキャパシタ型DC-DCコンバータ(A-1.回路とシステム,一般セッション),石井 翔,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2009,p. 39-39,2009年03月04日
  • C-12-10 CMOSディジタルシステムのためのPVTバラツキ補正回路(C-12.集積回路,一般セッション),次田 祐輔,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2009,No. 2,p. 98-98,2009年03月04日
  • C-12-28 低電圧CMOSディジタル回路のプロセス・温度バラツキ補正技術(C-12.集積回路,一般セッション),次田 祐輔,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会講演論文集,一般社団法人電子情報通信学会,Vol. 2008,No. 2,p. 97-97,2008年09月02日
  • A-1-7 サブスレッショルドMOS回路によるWinner-Take-All回路(A-1. 回路とシステム,一般セッション),小川 太一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2008,p. 7-7,2008年03月05日
  • A-2-4 しきい素子を用いた確率共鳴現象の電子回路実験 : 雑音を利用した微弱信号検出LSIに向けて(A-2. 非線形問題,一般セッション),佐橋 透,宇田川 玲,浅井 哲也,廣瀬 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2008,p. 43-43,2008年03月05日
  • A-1-36 時系列コーディングを行う神経モデルのアナログCMOS回路化(A-1. 回路とシステム,一般セッション),藤田 大地,マリア トヴァー ジェシカ,浅井 哲也,廣瀬 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2008,p. 36-36,2008年03月05日
  • A-1-20 サブスレッショルドMOS演算増幅器によるオフセット除去(A-1. 回路とシステム,一般セッション),飯田 智貴,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2008,p. 20-20,2008年03月05日
  • A-1-17 熱伝導による発振器の動作解析(A-1. 回路とシステム,一般セッション),平井 孝明,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2008,p. 17-17,2008年03月05日
  • C-12-30 アナログ回路のプロセスばらつき補正のための参照電圧源回路(C-12. 集積回路ACD(メモリ・電源・ばらつき),一般セッション),上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2008,No. 2,p. 120-120,2008年03月05日
  • C-8-10 Colllision-Based Computingに基づく単一磁束量子論理回路(C-8. 超伝導エレクトロニクス,一般セッション),山田 和人,浅井 哲也,廣瀬 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2008,No. 2,p. 57-57,2008年03月05日
  • C-12-41 低電圧CMOSディジタル回路の特性バラツキ補正アーキテクチャ(C-12. 集積回路ABC(測定・評価),一般セッション),次田 祐輔,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2008,No. 2,p. 131-131,2008年03月05日
  • 25pPSB-9 少数キャリア反応拡散デバイスにおける分裂・増殖パターンの発生(ポスターセッション,領域11,統計力学,物性基礎論,応用数学,力学,流体物理),河端 和義,浅井 哲也,廣瀬 哲也,雨宮 好仁,日本物理学会講演概要集,一般社団法人日本物理学会,Vol. 63,No. 1,p. 332-332,2008年02月29日
  • CMOS Voltage Reference Based on the Threshold Voltage of a MOSFET,UENO Ken,HIROSE Tetsuya,ASAI Tetsuya,AMEMIYA Yoshihito,Extended abstracts of the ... Conference on Solid State Devices and Materials,Vol. 2007,p. 486-487,2007年09月19日
  • A-1-5 熱伝導による位相遅れを利用したCMOS発振回路(A-1.回路とシステム,一般講演),平井 孝明,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会講演論文集,一般社団法人電子情報通信学会,Vol. 2007,p. 5-5,2007年08月29日
  • A-1-12 マスタースレーブフリップフロップ回路の低電圧動作解析(A-1.回路とシステム,一般講演),小川 太一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会講演論文集,一般社団法人電子情報通信学会,Vol. 2007,p. 12-12,2007年08月29日
  • C-3-30 フォトニック結晶デバイス向け組み合わせ光論理回路の設計手法(光信号処理・波長変換,C-3.光エレクトロニクス,一般講演),山田 和人,浅井 哲也,齊藤 晋聖,廣瀬 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会講演論文集,一般社団法人電子情報通信学会,Vol. 2007,No. 1,p. 153-153,2007年08月29日
  • C-12-19 サブスレッショルドCMOS回路によるしきい値電圧を参照した基準電圧源回路(C-12.集積回路C(アナログ),一般講演),上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会講演論文集,一般社団法人電子情報通信学会,Vol. 2007,No. 2,p. 74-74,2007年08月29日
  • 24aPS-32 少数キャリア反応拡散に基づく固体反応拡散系を用いたダイオード型機能素子(領域11ポスターセッション,領域11,統計力学,物性基礎論,応用数学,力学,流体物理),河端 和義,浅井 哲也,廣瀬 哲也,雨宮 好仁,日本物理学会講演概要集,一般社団法人日本物理学会,Vol. 62,No. 2,p. 327-327,2007年08月21日
  • MOSFETのしきい値電圧を参照した基準電圧源回路,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会技術研究報告. ICD, 集積回路,一般社団法人電子情報通信学会,Vol. 107,No. 163,p. 5-10,2007年07月19日
  • MOSFETのしきい値電圧を参照した基準電圧源回路 (情報センシング),上野 憲一,廣瀬 哲也,浅井 哲也,映像情報メディア学会技術報告,映像情報メディア学会,Vol. 31,No. 34,p. 5-10,2007年07月
  • サブスレッショルドMOS回路によるしきい論理システム (第20回 回路とシステム軽井沢ワークショップ論文集) -- (アーキテクチャ設計と低電力化),小川 太一,廣瀬 哲也,浅井 哲也,回路とシステム軽井沢ワークショップ論文集,[電子情報通信学会],Vol. 20,p. 337-341,2007年04月23日
  • サブスレッショルドMOS特性を利用したPTAT電流生成のための微小フローティング電圧源回路 (第20回 回路とシステム軽井沢ワークショップ論文集) -- (アナログ集積回路ブロック),上野 憲一,廣瀬 哲也,浅井 哲也,回路とシステム軽井沢ワークショップ論文集,[電子情報通信学会],Vol. 20,p. 523-528,2007年04月23日
  • C-12-29 サブスレッショルドLSIのための基準電流源回路(C-12.集積回路C(アナログ),一般講演),吉井 一馬,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2007,No. 2,p. 108-108,2007年03月07日
  • C-12-30 MOSFETのサブスレッショルド特性を利用したPTAT電流生成用フローティング電圧源(C-12.集積回路C(アナログ),一般講演),上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2007,No. 2,p. 109-109,2007年03月07日
  • A-1-2 サブスレッショルドMOS回路によるしきい論理ゲート(A-1.回路とシステム,一般講演),小川 太一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2007,p. 2-2,2007年03月07日
  • A-1-1 Collision-based fusion gateの電源電圧-動作周波数特性(A-1.回路とシステム,一般講演),山田 和人,浅井 哲也,廣瀬 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2007,p. 1-1,2007年03月07日
  • 磁束量子回路によるスパイクニューロン回路とその応用,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会技術研究報告. ED, 電子デバイス,一般社団法人電子情報通信学会,Vol. 106,No. 520,p. 41-45,2007年01月25日
  • A-1-15 Collision-based fusion gateを用いた16bit乗算器の設計(A-1.回路とシステム,一般講演),山田 和人,浅井 哲也,廣瀬 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会講演論文集,一般社団法人電子情報通信学会,Vol. 2006,p. 15-15,2006年09月07日
  • C-12-19 サブスレッショルドMOSを利用したスマート温度センサLSI(C-12.集積回路C(アナログ),一般講演),上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会講演論文集,一般社団法人電子情報通信学会,Vol. 2006,No. 2,p. 80-80,2006年09月07日
  • C-12-18 温度検出スイッチ回路のしきい温度解析(C-12.集積回路C(アナログ),一般講演),萩原 淳史,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会講演論文集,一般社団法人電子情報通信学会,Vol. 2006,No. 2,p. 79-79,2006年09月07日
  • 26aPS-18 興奮系を用いた臨界温度センサ集積回路(26aPS 領域11ポスターセッション,領域11(統計力学,物性基礎論,応用数学,力学,流体物理)),浅井 哲也,廣瀬 哲也,Maria Tovar Gessyca,雨宮 好仁,日本物理学会講演概要集,一般社団法人日本物理学会,Vol. 61,No. 2,p. 233-233,2006年08月18日
  • サブスレッショルドMOSFETを用いた温度検出スイッチ回路,萩原 淳史,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会技術研究報告,一般社団法人電子情報通信学会,Vol. 106,No. 206,p. 37-41,2006年08月17日
  • 広範囲な活性化エネルギーに適応可能なCMOS品質劣化モニタセンサLSI,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス,一般社団法人電子情報通信学会,Vol. 106,No. 206,p. 31-36,2006年08月10日
  • MOSFETのサブスレッショルド特性を利用したスマート温度センサLSIの検討,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会技術研究報告,一般社団法人電子情報通信学会,Vol. 106,No. 189,p. 61-65,2006年07月27日
  • サブスレッショルドMOS LSIのためのスイッチトキャパシタ型DC-DCコンバータ (電源回路),廣瀬 哲也,浅井 哲也,雨宮 好仁,回路とシステム軽井沢ワークショップ論文集,[電子情報通信学会],Vol. 19,p. 405-410,2006年04月24日
  • A-1-24 サブスレッショルド領域動作LSIのためのスイッチトキャパシタDC-DCコンバータ(A-1.回路とシステム,一般講演),廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2006,p. 24-24,2006年03月08日
  • A-1-34 ノイズを利用してΔΣ変調を行うサブスレッショルドCMOS回路(A-1.回路とシステム,一般講演),宇田川 玲,浅井 哲也,廣瀬 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2006,p. 34-34,2006年03月08日
  • A-1-29 MOS論理ゲート回路のサブスレッショルド動作(A-1.回路とシステム,一般講演),宮川 敬,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2006,p. 29-29,2006年03月08日
  • A-1-26 Collision-Based Computingに基づく論理回路設計(A-1.回路とシステム,一般講演),山田 和人,浅井 哲也,廣瀬 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2006,p. 26-26,2006年03月08日
  • C-12-17 リセット機構を用いた温度検出スイッチ回路システム(C-12.集積回路C(アナログ),一般講演),萩原 淳史,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2006,No. 2,p. 89-89,2006年03月08日
  • C-12-18 様々な食品に対応したCMOS品質劣化モニタセンサ(C-12.集積回路C(アナログ),一般講演),上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2006,No. 2,p. 90-90,2006年03月08日
  • シリコン神経回路網におけるスパイクニューロン回路の高精度同期 : 積分発火型ニューロンと減衰シナプス、STDP学習回路の回路実装,廣瀬 哲也,Schmid Alexandre,浅井 哲也,LEBLEBICI Yusuf,雨宮 好仁,電子情報通信学会技術研究報告. NC, ニューロコンピューティング,一般社団法人電子情報通信学会,Vol. 105,No. 419,p. 53-58,2005年11月19日
  • C-12-21 CMOS回路による温度検出スイッチ(C-12.集積回路C(アナログ),エレクトロニクス2),萩原 淳史,廣瀬 哲也,山田 寛之,浅井 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会講演論文集,一般社団法人電子情報通信学会,Vol. 2005,No. 2,p. 101-101,2005年09月07日
  • C-8-6 磁束量子回路によるスパイクニューロンデバイス(C-8.超伝導エレクトロニクス,エレクトロニクス2),上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会講演論文集,一般社団法人電子情報通信学会,Vol. 2005,No. 2,p. 34-34,2005年09月07日
  • 減衰シナプスの単電子回路化とその熱雑音特性,大矢 剛嗣,浅井 哲也,加賀谷 亮,廣瀬 哲也,雨宮 好仁,電子情報通信学会技術研究報告. NC, ニューロコンピューティング,一般社団法人電子情報通信学会,Vol. 104,No. 758,p. 13-18,2005年03月21日
  • A-1-39 少数キャリア拡散によるCMOS反応拡散系のダイナミクス(A-1. 回路とシステム, 基礎・境界),高橋 基容,大矢 剛嗣,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2005,p. 39-39,2005年03月07日
  • C-12-22 弱反転MOSFETを用いた品質管理・温度履歴モニタ回路(C-12. 集積回路C(アナログ), エレクトロニクス2),上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2005,No. 2,p. 85-85,2005年03月07日
  • C-12-23 弱反転MOS LSIセンサのための基準電圧・電流源回路(C-12. 集積回路C(アナログ), エレクトロニクス2),廣瀬 哲也,松岡 俊匡,谷口 研二,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会講演論文集,一般社団法人電子情報通信学会,Vol. 2005,No. 2,p. 86-86,2005年03月07日
  • 単電子ニューロデバイスの熱雑音特性に関する数値的考察,大矢 剛嗣,浅井 哲也,加賀谷 亮,廣瀬 哲也,雨宮 好仁,電子情報通信学会技術研究報告. ED, 電子デバイス,一般社団法人電子情報通信学会,Vol. 104,No. 622,p. 39-46,2005年01月20日
  • 14aPS-33 セルオートマトン BZ 反応モデルの集積回路化と計算機科学への応用(ポスターセッション, 領域 11),加賀谷 亮,浅井 哲也,廣瀬 哲也,雨宮 好仁,日本物理学会講演概要集,一般社団法人日本物理学会,Vol. 59,No. 2,p. 247-247,2004年08月25日
  • バイアス・オフセットを用いたCMOS Linear-in-dB 可変利得増幅回路の設計,車 承佑,廣瀬 哲也,春岡 正起,松岡 俊匡,谷口 研二,映像情報メディア学会技術報告,映像情報メディア学会,Vol. 28,No. 38,p. 53-57,2004年07月14日
  • 極低消費電力品質モニタ回路,廣瀬 哲也,吉村 隆治,井戸 徹,松岡 俊匡,谷口 研二,浅井 哲也,雨宮 好仁,映像情報メディア学会技術報告,映像情報メディア学会,Vol. 28,No. 38,p. 59-64,2004年07月14日
  • CMOSプロセスによる弱反転動作PTAT参照電圧生成回路,宮本 潤,廣瀬 哲也,松岡 俊匡,谷口 研二,電子情報通信学会技術研究報告. ICD, 集積回路,一般社団法人電子情報通信学会,Vol. 104,No. 175,p. 31-34,2004年07月07日
  • バイアス・オフセットを用いた CMOS Linear-in-dB 可変利得増幅回路の設計,車 承佑,廣瀬 哲也,春岡 正起,松岡 俊匡,谷口 研二,電子情報通信学会技術研究報告. ICD, 集積回路,一般社団法人電子情報通信学会,Vol. 104,No. 175,p. 53-57,2004年07月07日
  • 極低消費電力品質モニタ回路,廣瀬 哲也,吉村 隆治,井戸 徹,松岡 俊匡,谷口 研二,浅井 哲也,雨宮 好仁,電子情報通信学会技術研究報告. ICD, 集積回路,一般社団法人電子情報通信学会,Vol. 104,No. 175,p. 59-64,2004年07月07日

著書

  • ウェスト&ハリスCMOS VLSI回路設計,Weste, Neil H. E.,Harris, David Money,廣瀬, 哲也,高橋, 篤司,天野, 英晴,山岡, 雅直,高宮, 真,宇佐美, 公良,池田, 誠,小林, 和淑,戸川, 望,小松, 聡,平本, 俊郎,佐藤, 高史,石原, 亨,黒川, 敦,三堂, 哲寿,丸善出版,ISBN:9784621087213,2014年01月
  • 集積回路工学,吉本, 雅彦,藤野, 毅,松岡, 俊匡,廣瀬, 哲也,川口, 博,小林, 和淑,オーム社,ISBN:9784274214271,2013年09月
  • 学術書,アナログ電子回路,永田, 真,太田, 淳,小林, 和淑,廣瀬, 哲也,松岡, 俊匡,オーム社,ISBN:9784274213441,2013年03月
  • 教科書・概説・概論,OHM大学テキスト 電気回路II,竹野 裕正,芳賀 宏,廣瀬 哲也,土谷 亮,久門 尚史,オーム社,2012年10月
  • 学術書,Advanced Circuits for Emerging Technologies,上野 憲一,廣瀬 哲也,Wiley,2012年05月
  • 学術書,Analog CMOS circuits implementing neural segmentation model based on symmetric STDP learning,G.M. Tovar,S.E. Fukuda,T. Asai,HIROSE Tetsuya,Y. Amemiya,Neural Information Processing, Ishikawa M., Doya K., Miyamoto H., and Yamakawa T., Eds., Lecture Notes in Computer Science,2008年06月
  • 学術書,Noise shaping pulse-density modulation in inhibitory neural networks with subthreshold neuron circuits,A. Utagawa,T. Asai,HIROSE Tetsuya,Y. Amemiya,Brain-Inspired IT III, Natsume K., Hanazawa A., and Miki T., Eds, International Congress Series,2007年06月
  • 学術書,Single-flux-quantum circuits for spiking neuron devices,HIROSE Tetsuya,K. Ueno,T. Asai,Y. Amemiya,Brain-Inspired IT II, Ishii K., Natsume K., and Hanazawa A., Eds., International Congress Series,2006年06月
  • 学術書,CMOSサブスレッショルド領域特性を利用したスマートセンサLSIの設計に関する研究,廣瀬 哲也,大阪大学博士論文,2005年11月

特許・実用新案・意匠

  • 電源回路、パワーマネジメント回路及びセンサデバイス,廣瀬哲也,瀬部 光,特願20220174,出願日:2022年05月17日
  • 差動増幅回路のための適応バイアス生成回路及び差動増幅回路,廣瀬 哲也,尾崎 年洋,特許6041241,特願2013-041698,出願日:2013年03月04日
  • コンパレータ回路,廣瀬 哲也,椿 啓志,磯野 航輔,特許5692705,特願2011-209587,出願日:2011年09月29日
  • REFERENCE CURRENT SOURCE CIRCUIT,廣瀬 哲也,鬼頭 豊明,大崎 勇士,未登録,12713362,出願日:2010年05月28日
  • POWER SUPPLY VOLTAGE CONTROLLING CIRCUIT FOR USE IN SUBTHRESHOLD DIGITAL CMOS CIRCUIT,廣瀬 哲也,大崎 勇士,松本 啓,未登録,12713362,出願日:2010年05月28日
  • コンパレータ回路,廣瀬 哲也,椿 啓志,沼 昌宏,特許未登録,特願44369,出願日:2010年03月01日
  • サブスレッショルドCMOS回路,廣瀬 哲也,大崎 勇士,松本 啓,特許未登録,特願180244,出願日:2009年08月01日

受賞

  • エレクトロニクスソサイエティ活動功労表彰,廣瀬哲也,電子情報通信学会エレクトロニクスソサイエティ,2023年03月
  • 招待論文賞,廣瀬 哲也,中澤 勇一朗,電子情報通信学会エレクトロニクスソサイエティ,2022年09月
  • 若手研究会ポスター奨励賞,池田隆希,瀬部 光,中野太地,毎田 修,兼本大輔,廣瀬哲也,電子情報通信学会,2021年05月
  • 若手研究会優秀ポスター賞,西 雅也,肥田壮太郎,松本 香,黒木修隆,沼 昌宏,毎田 修,兼本大輔,廣瀬哲也,電子情報通信学会,2021年05月
  • 若手研究会優秀ポスター賞,松本 香,黒木修隆,沼 昌宏,毎田 修,兼本大輔,廣瀬哲也,電子情報通信学会,2021年05月
  • 奨励賞,西 雅也, 中澤勇一郎, 松本 香, 黒木修隆, 沼 昌宏, 廣瀬哲也,第32回 回路とシステムワークショップ,2019年08月
  • 平成29年度VDECデザイナーズフォーラム, 優秀賞,浅野 大樹,廣瀬 哲也,椿 啓志,三好 太朗,尾崎 年洋,黒木 修隆,沼 昌宏,東京大学VDEC,2017年09月
  • IEEE SSCS Japan Chapter VDEC Design Award,浅野 大樹,廣瀬 哲也,椿 啓志,三好 太朗,尾崎 年洋,黒木 修隆,沼 昌宏,IEEE SSCS Japan Chapter,2017年09月
  • IEEE SSCS Japan Chapter Academic Research Award,浅野 大樹,廣瀬 哲也,椿 啓志,三好 太朗,尾崎 年洋,黒木 修隆,沼 昌宏,IEEE SSCS Japan Chapter,2017年05月
  • 丸文研究奨励賞,廣瀬 哲也,丸文財団,2017年03月
  • 優秀賞,浅野 大樹,廣瀬 哲也,三好 太朗,椿 啓志,尾崎 年洋,黒木 修隆,沼 昌宏,平成28年度 VDECデザイ ナーズフォーラム,2016年08月
  • Best Student Paper Award,ASANO Hiroki,HIROSE Tetsuya,MIYOSHI Taro,TSUBAKI Keishi,OZAKI Toshihiro,KUROKI Nobutaka,NUMA Masahiro,14th IEEE International NEWCAS Conference,2016年06月
  • IEEE SSCS Japan Chapter Academic Research Award,浅野 大樹,廣瀬 哲也,三好 太朗,椿 啓志,尾崎 年洋,黒木 修隆,沼 昌宏,電子情報通信学会集積回路研究専門委員会,2016年05月
  • STARC共同研究賞,廣瀬 哲也,半導体理工学研究センター(STARC),2013年01月
  • 電気学会優秀論文発表A賞,上野 憲一,浅井 哲也,雨宮 好仁,廣瀬 哲也,電気学会電子回路研究会,2009年09月
  • The Research Institute of Signal Processing - NSCP'07 Student Paper Award,S.E. Fukuda,T. Asai,HIROSE Tetsuya,Y. Amemiya,RISP,2007年03月
  • The Research Institute of Signal Processing - NSCP'07 Outstanding Student Paper Award,A. Utagawa,T. Asai,HIROSE Tetsuya,Y. Amemiya,RISP,2007年03月
  • 第8回LSI IPデザイン・アワード 研究助成賞,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,LSI IPデザイン・アワード委員会,2006年05月
  • 第9回システムLSIワークショップ IEEE Solid-State Circuits Society Japan Chapter 奨励賞,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,IEICE,2005年11月
  • The Research Institute of Signal Processing - NSCP'05 Student Paper Award,T. Oya,T. Asai,R. Kagaya,HIROSE Tetsuya,Y. Amemiya,RISP,2005年03月
  • 第6回LSI IPデザイン・アワード - IP賞,廣瀬 哲也,吉村 隆治,井戸 徹,松岡 俊匡,谷口 研二,LSI IPデザイン・アワード委員会,2004年05月

講演・口頭発表等

  • 極低電圧熱エネルギーハーベスティングに向けた50mV以下の低電圧で動作する昇圧コンバータ,瀬部 光,兼本大輔,廣瀬哲也,電⼦情報通信学会 集積回路研究専門委員会 集積回路研究会,2022年08月08日
  • 振動エネルギーハーベスティングに向けたバイアスフリップ整流回路の設計,谷上輝來,瀬部 光,兼本大輔,廣瀬哲也,LSIとシステムのワークショップ2022,2022年05月09日
  • サブスレッショルド領域動作MOSFETを利⽤した極低消費電⼒温度センサ回路に関する研究,糸田川佳克,渥美光真,瀬部 光,兼本大輔,廣瀬哲也,LSIとシステムのワークショップ2022,2022年05月09日
  • 低電圧CMOS発振回路のデジタル制御技術の検討,水田拓海,池田隆希,瀬部 光,兼本大輔,廣瀬哲也,LSIとシステムのワークショップ2022,2022年05月09日
  • FVFを利⽤したリップル電圧の基本波・⾼調波成分除去が可能な低消費電⼒LDO,川晃弘,兼本大輔,三井健司,廣瀬哲也,電⼦情報通信学会 総合⼤会 2022,2022年03月17日
  • 圧縮センシングを⽤いた脳波計測フレーム の電⼦すかし暗号システムにおけるDoS 攻撃耐性について,津永亮多,兼本大輔,永井孝太郎,廣瀬哲也,電⼦情報通信学会 総合⼤会 2022,2022年03月17日
  • 圧縮センシングを⽤いた低消費電⼒脳波計測フレームワークにおけるサンプリング系列⽐較,岡部勇樹,兼本大輔,廣瀬哲也,電⼦情報通信学会 総合⼤会 2022,2022年03月16日
  • BSBL アルゴリズムを⽤いた脳波圧縮センシングに適した辞書⾏列の解明,永井孝太郎,兼本大輔,廣瀬哲也,大木真,電⼦情報通信学会 総合⼤会 2022,2022年03月15日
  • 圧縮センシングを活⽤した⼼電図計測フレームワークの⼀設計法,松村侑紀,兼本大輔,毎田修,廣瀬哲也,デザインガイア2021,2021年12月02日
  • FVFを応⽤したPSRR帯域拡張回路を搭載した脳波計測ウェアラブルデバイス向け低消費LDO,三井健司,兼本大輔,毎田修,廣瀬哲也,デザインガイア2021,2021年12月01日
  • ⾼精度参照電流源回路を不要とする品質劣化モニタセンサLSI,渥美光真,瀬部 光,中野太地,池田隆希,毎田 修,兼本大輔,廣瀬哲也,LSIとシステムのワークショップ 2021,2021年05月10日
  • 微⼩温度差発電のための極低電圧昇圧コンバータ⽤ドライバ回路,三原柊平,瀬部 光,毎田 修,兼本大輔,廣瀬哲也,LSIとシステムのワークショップ2021,2021年05月10日
  • 超低消費電⼒で動作するオンチップ電圧検知回路の設計,笹谷昌平,中野太地,瀬部 光,毎田 修,兼本大輔,廣瀬哲也,LSIとシステムのワークショップ2021,2021年05月10日
  • 圧縮センシングを⽤いた低消費電⼒脳波計測フレームワークのサンプリング間隔の検討,岡部勇樹,兼本大輔,望月智弥,毎田修,廣瀬哲也,電⼦情報通信学会 VLSI設計技術研究会,2021年01月25日
  • OD-ICAを利⽤した圧縮センシング脳波計測フレームワークにおけるICAアルゴリズムの⽐較,奥村渡,兼本大輔,毎田修,廣瀬哲也,電⼦情報通信学会 VLSI設計技術研究会,2021年01月25日
  • ヒステリシスコンパレータを使ったアクティブダイオードの評価,松本 香,黑木修隆,沼 昌宏,毎田 修,兼本大輔,廣瀬哲也,電⼦情報通信学会 ICD/CAS研究会 学⽣・若⼿研究会,2020年12月
  • 超低電圧で動作する基本論理ゲートとその応⽤,瀬部 光,池田隆希,中野太地,毎田 修,兼本大輔,廣瀬哲也,電⼦情報通信学会 ICD/CAS研究会 学⽣・若⼿研究会,2020年12月
  • 逐次⽐較型最⼤電⼒点追従制御を⽤いた⼩型太陽電池向け昇圧システム,池田隆希,瀬部 光,中野太地,毎田 修,兼本大輔,廣瀬哲也,電⼦情報通信学会 ICD/CAS研究会 学⽣・若⼿研究会,2020年12月
  • RFエネルギーハーベスティングに向けた極低電圧AC-DCコンバータの検討,中野太地,池田隆希,瀬部 光,毎田 修,兼本大輔,廣瀬哲也,電⼦情報通信学会 ICD/CAS研究会 学⽣・若⼿研究会,2020年12月
  • 極低電圧で動作するスイッチトキャパシタ型昇圧システムに向けたドライバ回路,⻄ 雅也,肥田壮太郎,松本 香,黑木修隆,沼 昌宏,毎田 修,兼本大輔,廣瀬哲也,電 ⼦情報通信学会 ICD/CAS研究会 学⽣・若⼿研究会,2020年12月
  • ⾼効率パワーマネジメントシステムに向けた超低消費電⼒レギュレータの検討,肥田壮太郎,L. Y. GYUN,⻄ 雅也,松本 香,黑木修隆,沼 昌宏,毎田 修,兼本大輔,廣瀬哲也,電⼦情報通信学会 ICD/CAS研究会 学⽣・若⼿研究会,2020年12月
  • 圧縮センシングを⽤いた低消費電⼒カ プセル内視鏡システム実現のための設計指針に関する検討,原田勇輝,兼本大輔,大木真,毎田修,廣瀬哲也,デザインガイア2020,2020年11月17日
  • ホウ素添加ホモエピタキシャル成⻑ダイヤモンド薄膜の過渡光容量法を⽤いた結晶⽋陥評価,毎田 修,兼本大輔,廣瀬哲也,2020年⽇本表⾯真空学会学術講演会,2020年11月
  • ⾼濃度ホウ素ドープダイヤモンド多層膜クラスター構造の作製とその評価,毎田 修,兼本大輔,廣瀬哲也,2020年⽇本表⾯真空学会学術講演会,2020年11月
  • 圧縮センシングを⽤いた脳波計測フレームワークに搭載するアナログ回路で⽣じるノイズが信号復元に与える影響,佐藤太河,兼本大輔,勝俣駿,毎田修,廣瀬哲也,電⼦情報 通信学会ソサイエティ⼤会,2020年09月17日
  • 微弱光エネルギーを利⽤する集積回路システムの構築,廣瀬哲也,電⼦情報通信学会 エ レクトロニクスソサイエティ 回路・デバイス・境界技術領域 依頼講演,2020年01月23日
  • Study of Digital Circuit Design of Independent Component Analysis for Compressed Sensing Electroencephalogram Signal Processing,Chen Brendon,兼本大輔,毎田 修,廣瀬哲也,電気学会 電⼦回路研究会,2020年01月23日
  • ワイヤレス給電システムの位置⾃由度を改善するパワーマネジメント回路に関する研究,沖田 翔,増田創太,松本 香,黑木修隆,沼 昌宏,毎田 修,兼本大輔,廣瀬哲也,電⼦情報通信学会 ICD/CAS研究会 学⽣・若⼿研究会,2019年12月
  • 低電圧エネルギーハーベスティングシステムに向けた超低消費電⼒電圧モニタ回路,矢倉佳樹,佐藤孝憲,松本 香,黑木修隆,沼 昌宏,毎田 修,兼本大輔,廣瀬哲也,電⼦情報通信学会 ICD/CAS研究会 学⽣・若⼿研究会,2019年12月
  • リアルタイムクロックに向けた超低消費電⼒32 kHz⽔晶発振回路,木村亮平,浅野大樹,松本 香,黑木修隆,沼 昌宏,毎田 修,兼本大輔,廣瀬哲也,電⼦情報通信学会 ICD/CAS研究会 学⽣・若⼿研究会,2019年12月
  • 畳み込みニューラルネットワークを⽤いたアスファルトのひび割れ検出と画像の⾃動スケール判断,原口俊樹,黑木修隆,廣瀬哲也,沼 昌宏,令和元年電気関係学会関⻄連合⼤会,2019年12月
  • CNNのハードウェア実装における全結合層のリソース削減⼿法に関する⼀検討,川合勇気,古川 巧,黑木修隆,廣瀬哲也,沼 昌宏,第18回情報科学技術フォーラム (FIT2019),2019年09月
  • 分岐構造のCNNによる⾼速な多クラス分類システムに関する研究,村岡雄太,黑木修隆,廣瀬哲也,沼 昌宏,第18回情報科学技術フォーラム(FIT2019),2019年09月
  • Skip-ConnectionとSeparable畳み込みを⽤いたCNNによる画像の単眼深度推定,吉田昇馬,黑木修隆,廣瀬哲也,沼 昌宏,第18回情報科学技術フォーラム(FIT2019),2019年09月
  • CNNを⽤いた近⾚外線画像のセグメンテーションとカラリゼーション,岩峰晴也,三浦大輝,黑木修隆,廣瀬哲也,沼 昌宏,第18回情報科学技術フォーラム(FIT2019),2019年09月
  • VGGとU-Netを組み合わせたニューラルネット ワークによるグレースケール画像の⾃動着⾊,原口俊樹,黑木修隆,廣瀬哲也,沼 昌宏,第18回情報科学技術フォーラム (FIT2019),2019年09月
  • 極低電圧エネルギーハーベスティングに向けたスイッチトキャパシタ型昇圧コンバータ,⻄ 雅也,中澤勇一郎,松本 香,黑木修隆,沼 昌宏,廣瀬哲也,第32回 回路とシス テムワークショップ,2019年08月23日
  • 超低消費電⼒で動作するアクティブ ダイオードの設計,松本 香,中澤勇一郎,黑木修隆,沼 昌宏,廣瀬哲也,LSIとシステムのワークショップ2019,2019年05月14日
  • セグメンテーションを組み合わせたDCGAN による画像の⾃動⽣成,浦添和哉,黑木修隆,廣瀬哲也,沼 昌宏,第17回情報科学技術フォーラム(FIT2018),2018年09月
  • 論理再合成における遅延時間抑制 に有効なRECONスペアセルの概略配置⼿法,明石淳平,澤井剛史,廣瀬哲也,黑木修隆,沼 昌宏,第17回情報科学技術フォーラム (FIT2018),2018年09月
  • 4CH出⼒CNNを⽤いた超解像処 理のハードウェア化,鈴木洸陽,澤井剛史,廣瀬哲也,黑木修隆,沼 昌宏,第17回情報科学技術フォーラム(FIT2018),2018年09月
  • CNNハードウェアにお けるDRAMアクセス量削減⼿法,古川 巧,望月香那,黑田幸作,廣瀬哲也,黑木修隆,沼 昌宏,第17回情報科学技術フォーラム(FIT2018),2018年09月
  • 誤り追跡⼊⼒の追加⽣成に基づく 論理診断処理の効率化,大村祥吾,竹崎彩乃,廣瀬哲也,黑木修隆,沼 昌宏,第17回情報科学技術フォーラム(FIT2018),2018年09月
  • 過電流制御技術を⽤い た⾃⼰バイアス型シリーズレギュレータ,L. Y. GYUN,廣瀬哲也,浅野大樹,神崎脩斗,黑木修隆,沼 昌宏,第31回 回路とシステムワークショップ,2018年05月17日
  • アクティ ブダイオードに向けたヒステリシスコンパレータの設計,松本 香,廣瀬哲也,浅野大樹,辻 佑斗,中澤勇一郎,黑木修隆,沼 昌宏,第31回 回路とシステムワークショップ,2018年05月17日
  • 最⼤効率 点追従制御を⽤いたスイッチトキャパシタ型降圧コンバータの⾼効率化,神崎脩斗,廣瀬哲也,尾崎年洋,浅野大樹,中澤勇一朗,黑木修隆,沼 昌宏,第31回 回路と システムワークショップ,2018年05月17日
  • 低電圧・微弱 環境エネルギー利⽤に向けたパワーマネジメントシステム,山手浩樹,廣瀬哲也,浅野大樹,中澤勇一郎,神崎脩斗,黑木修隆,沼 昌宏,LSIとシステムのワーク ショップ2018,2018年05月15日
  • A self-biased low-dropout linear regulator for ultra-low power battery management,OZAKI Toshihiro,HIROSE Tetsuya,ASANO Hiroki,KUROKI Nobutaka,NUMA Masahiro,IEEE EDS Kansai Chapter, The 17th Kansai Colloquium Electron Devices Workshop,2018年01月
  • 時間計測アプリケーションに向けた抵抗レスで面積利用効率の高い超低電力フルオンチップ弛張発振回路,浅野 大樹,廣瀬 哲也,尾崎 年洋,黒木 修隆,沼 昌宏,電子情報通信学会 集積回路研究専門委員会 学生・若手研究会,2017年12月
  • シュミットトリガインバータを用いた超低電圧リング発振器,寺田 達矢,廣瀬 哲也,浅野 大樹,辻 祐斗,中澤 勇一郎,北村 雅季,応用物理学会関西支部 平成29年度第2回講演会,2017年11月
  • 超低消費電力32-kHzリアルタイムクロック生成回路,浅野 大樹,廣瀬 哲也,椿 啓志,三好 太朗,尾崎 年洋,黒木 修隆,沼 昌宏,平成29年度VDECデザイナーズフォーラム,2017年09月
  • 畳み込みニューラルネットワークを用いた赤外線画像のカラー化,三浦 大輝,黒木 修隆,廣瀬 哲也,沼 昌宏,第16回情報科学技術フォーラム,2017年09月
  • 畳み込みニューラルネットワークと生成型学習法を用いたコンクリートのひび割れ抽出,岡田 大幹,黒木 修隆,廣瀬 哲也,沼 昌宏,第16回情報科学技術フォーラム,2017年09月
  • 極低入力電圧エネルギーハーベスティングに向けた昇圧コンバータの設計,辻 佑斗,廣瀬 哲也,尾崎 年洋,浅野 大樹,黒木 修隆,沼 昌宏,LSIとシステムのワークショップ2017,2017年05月
  • リアルタイムクロックに向けた電流比較型超低電力フルオンチップRC発振器,浅野 大樹,廣瀬 哲也,椿 啓志,三好 太朗,尾崎 年洋,黒木 修隆,沼 昌宏,LSIとシステムのワークショップ2017,2017年05月
  • 時間計測アプリケーションに向けた超低電力フルオンチップ電流比較型RC発振器,浅野 大樹,廣瀬 哲也,椿 啓志,三好 太朗,尾崎 年洋,黒木 修隆,沼 昌宏,電子情報通信学会 集積回路研究専門委員会 集積回路研究会,2017年01月
  • 適応バイアス技術を用いた低電流・高速スイッチトキャパシタ型増幅回路,竹川 和希,廣瀬 哲也,尾崎 年洋,浅野 大樹,黒木 修隆,沼 昌宏,電子情報通信学会 集積回路研究専門委員会 集積回路研究会 学生・若手研究会,2016年12月
  • 超低電力・環境発電デバイスに向けた最大発電量予測システム,佐藤 孝憲,廣瀬 哲也,尾崎 年洋,浅野 大樹,黒木 修隆,沼 昌宏,電子情報通信学会 集積回路研究専門委員会 集積回路研究会 学生・若手研究会,2016年12月
  • 畳み込みニューラルネットワークを用いたモノクロ画像のカラリゼーション,嵐 悠登,黒木 修隆,廣瀬 哲也,沼 昌宏,第15回情報科学技術フォーラム(FIT2016),2016年09月
  • 近似を導入した簡略化アルゴリズムに基づくRNN回路のリソース削減と高効率化,村田 大智,廣瀬 哲也,黒木 修隆,沼 昌宏,電子情報通信学会 リコンフィギャラブルシステム研究会,2016年09月
  • ぶれ画像復元のためのPSF推定に関する研究,野原 広志,黒木 修隆,廣瀬 哲也,沼 昌宏,第15回情報科学技術フォーラム(FIT2016),2016年09月
  • ハードウェア化に適した近似関数の導入によるRNN回路のリソース削減と低消費電力化,村田 大智,望月 香那,黒田 幸作,廣瀬 哲也,黒木 修隆,沼 昌宏,第15回情報科学技術フォーラム(FIT2016),2016年09月
  • SIFT特徴点を用いたPoint Cloudの位置合わせ手法に関する研究,山口 裕大,黒木 修隆,廣瀬 哲也,沼 昌宏,第15回情報科学技術フォーラム(FIT2016),2016年09月
  • 高速起動を特徴とした間欠動作型VLSIシステム用32-MHzオンチップクロック源回路,浅野 大樹,廣瀬 哲也,三好 太朗,椿 啓志,尾崎 年洋,黒木 修隆,沼 昌宏,電子情報通信学会 集積回路研究専門委員会 集積回路研究会,2016年08月
  • 1マイクロ秒以内の高速起動を特徴とする高精度32-MHz弛張発振器,浅野 大樹,廣瀬 哲也,三好 太朗,椿 啓志,尾崎 年洋,黒木 修隆,沼 昌宏,平成28年度VDECデザイナーズフォーラム,2016年08月
  • 間欠動作型VLSIシステムに向けた高速起動可能な32-MHzフルオンチップ弛張発振器,浅野 大樹,廣瀬 哲也,三好 太朗,椿 啓志,尾崎 年洋,黒木 修隆,沼 昌宏,LSIとシステムのワークショップ2016,2016年05月
  • 連写画像におけるノイズ除去のための三次元NL-Means法,小堀 寛和,黒木 修隆,廣瀬 哲也,沼 昌宏,第14回情報科学技術フォーラム,2015年09月
  • 自己学習型超解像に適用するK-meansクラスタリング処理のハードウェアによる実現,村田 大智,切山 亜弓,雫 譲,廣瀬 哲也,黒木 修隆,沼 昌宏,第14回情報科学技術フォーラム,2015年09月
  • 事前教師あり学習を適用したNetwork in Networkによる画像認識の高精度化,古井 秀弥,廣瀬 哲也,黒木 修隆,沼 昌宏,第14回情報科学技術フォーラム,2015年09月
  • 局所的加重平均を用いた医用断層画像のノイズ除去手法,加藤 裕,黒木 修隆,廣瀬 哲也,沼 昌宏,第14回情報科学技術フォーラム,2015年09月
  • Deformable Part Modelsによる映像中の異常行動検出,杉本 有,黒木 修隆,廣瀬 哲也,沼 昌宏,第14回情報科学技術フォーラム,2015年09月
  • 幅広い電圧レベル変換を実現する低消費電力レベルシフタ,松塚 凌,廣瀬 哲也,雫 譲,黒木 修隆,沼 昌宏,平成27年度 VDECデザイナーズフォーラム,2015年08月
  • 低電圧入力で動作するマイクロ環境発電のための高効率3端子昇圧コンバータ,尾崎 年洋,廣瀬 哲也,長井 崇浩,椿 啓志,黒木 修隆,沼 昌宏,平成27年度 VDECデザイナーズフォーラム,2015年08月
  • 振動エネルギーを用いた環境発電のための超低電力・適応バイアス型シリーズレギュレータ,佐渡 健司,廣瀬 哲也,椿 啓志,尾崎 年洋,浅野 大樹,松本 香,黒木 修隆,沼 昌宏,第41回アナログRF研究会,2015年07月
  • 低電圧エネルギー・ハーベスティングに向けた高効率3端子昇圧コンバータ,尾崎 年洋,廣瀬 哲也,長井 崇浩,椿 啓志,黒木 修隆,沼 昌宏,LSIとシステムのワークショップ2015,2015年05月
  • 逐次比較AD コンバータに向けたコンパレータのオフセット補正アーキテクチャ,上田 僚太,廣瀬 哲也,山内 貴仁,椿 啓志,黒木 修隆,沼 昌宏,電子情報通信学会 集積回路研究専門委員会 アナログRF研究会,2014年07月
  • 環境エネルギーを利用した超低電力集積回路設計の取り組み,廣瀬 哲也,電子情報通信学会 集積回路研究専門委員会 アナログRF研究会,2014年07月
  • 環境エネルギーを利用した自立型超低電力LSIシステムの創出に向けた取り組み,廣瀬 哲也,第879回紫翠会例会講演会,2014年02月
  • 画質評価のための注視重要度の関数近似,泉 直孝,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会技術研究報告,2013年12月
  • 超解像と誤差帰還を用いた電子ズームの構成方法,菅原 佑貴,土川 健斗,黒木 修隆,廣瀬 哲也,沼 昌宏,第12回情報科学技術フォーラム(FIT2013),2013年09月
  • 事例参照型超解像における幾何学模様の学習,土川 健斗,菅原 佑貴,泉 直孝,黒木 修隆,廣瀬 哲也,沼 昌宏,第12回情報科学技術フォーラム(FIT2013),2013年09月
  • HOGを用いた識別器の構成方法と歩行者検出への応用,後藤 弘樹,井尻 将太,大浦 淳貴,黒木 修隆,廣瀬 哲也,沼 昌宏,第12回情報科学技術フォーラム(FIT2013),2013年09月
  • 低電圧エネルギー・ハーベスティングに向けた0.27-V入力,効率75%,オンチップ・チャージポンプ回路,長井 崇浩,廣瀬 哲也,椿 啓志,黒木 修隆,沼 昌宏,第26回 回路とシステムワークショップ,2013年08月
  • 適応バイアス電流生成技術を用いた超低電力・高速Rail-to-Railオペアンプ,尾崎 年洋,廣瀬 哲也,椿 啓志,黒木 修隆,沼 昌宏,LSIとシステムのワークショップ2013,2013年05月
  • ばらつき補正技術を用いたシングルスロープADコンバータ,中澤 明良,廣瀬 哲也,大崎 勇士,椿 啓志,黒木 修隆,沼 昌宏,LSIとシステムのワークショップ2013,2013年05月
  • 適応バイアス技術を用いた超低電力・高速Rail-to-Railオペアンプ,尾崎年洋,廣瀬 哲也,椿 啓志,黒木 修隆,沼 昌宏,電子情報通信学会 総合大会,2013年03月
  • 低参照電圧を用いた実時間計測用弛張発振回路,椿 啓志,廣瀬 哲也,黒木 修隆,沼 昌宏,電子情報通信学会 総合大会,2013年03月
  • 超解像を用いたJPEGコーデックに関する一検討,清川 正徳,黒木 修隆,廣瀬 哲也,沼 昌宏,情報処理学会オーディオビジュアル複合情報処理研究会,2012年11月
  • 超低電力ナノワットCMOS LSIに向けたアナログ・ディジタル回路技術,HIROSE Tetsuya,第27回低消費電力・高速LSI技術懇談会,2012年10月
  • カラー画像の主観的品質とSSIMの関係について,泉 直孝,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会イメージ・メディア・クオリティ研究会,2012年10月
  • 野球中継映像におけるスコアテロップのレイアウト認識,貞元 太志,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会・情報処理学会 第11回情報科学技術フォーラム(FIT2012),2012年09月
  • 適応バイアス技術を用いた超低電力CMOSオペアンプの評価,鶴屋 由美子,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,小林 修,電子情報通信学会 ソサイエティ大会,2012年09月
  • 超低電圧ダイナミックコンパレータのためのオフセット電圧補正回路の高精度化,増田 長太郎,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会 ソサイエティ大会,2012年09月
  • 組合せ最適化アルゴリズムに基づくオブジェクトとラベルのレイアウト認識,大浦 淳貴,OURA Junki,辻 亮弥,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会・情報処理学会 第11回情報科学技術フォーラム(FIT2012),2012年09月
  • 階層的超解像による電子ズームの構成方法,菅原 佑貴,橋本 明信,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会・情報処理学会 第11回情報科学技術フォーラム(FIT2012),2012年09月
  • マルチモーダル入力に対応した重み付き多数決による識別器のGPU による高速化,佐々木 仁,坂本 博之,雫 譲,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会・情報処理学会 第11回情報科学技術フォーラム(FIT2012),2012年09月
  • コンパレータのバラツキ補正技術を用いた弛張発振回路の評価,椿 啓志,廣瀬 哲也,大﨑 勇士,志賀 誠一郎,黒木 修隆,沼 昌宏,電子情報通信学会 ソサイエティ大会,2012年09月
  • ウェーブレット変換に基づく学習型超解像のGPU による高速化手法,坂本 博之,佐々木 仁,雫 譲,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会・情報処理学会 第11回情報科学技術フォーラム(FIT2012),2012年09月
  • Ultra-low Power and High-Performance Analog Circuit Design Techniques for Energy-Harvesting Systems,HIROSE Tetsuya,2012 International conference on Solid State Devices and Materials Short Course (1),2012年09月
  • PVTバラツキ耐性を持つシングルスロープADコンバータ,大﨑 勇士,廣瀬 哲也,黒木 修隆,沼 昌宏,電子情報通信学会 ソサイエティ大会,2012年09月
  • Bag-of-Visual Words 表現を用いた放送映像中の類似シーン検出,井尻 将太,貞元 太志,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会・情報処理学会 第11回情報科学技術フォーラム(FIT2012),2012年09月
  • 二分木辞書を用いた学習型超解像のストリーム処理型アーキテクチャ,道畠 昂平,切山 亜弓,北山 貴彦,雫 譲,廣瀬 哲也,黒木 修隆,沼 昌宏,情報処理学会 DA シンポジウム2012,2012年08月
  • 充足可能性判定に基づく誤り追跡入力生成と機能特定を用いた論理診断手法,片山 直樹,松山 友紀,渡辺 浩介,廣瀬 哲也,黒木 修隆,沼 昌宏,情報処理学会 DA シンポジウム2012,2012年08月
  • メタル配線により再構成可能なセルと論理再合成への応用,下野 友大,天満 健,千崎 弘人,廣瀬 哲也,黒木 修隆,沼 昌宏,情報処理学会 DA シンポジウム2012,2012年08月
  • エネルギー・ハーベスティングに向けた超低電力集積回路技術,HIROSE Tetsuya,AETワークショップ,2012年06月
  • 適応バイアス型コンパレータを用いたSC型DC-DC コンバータ,中村 大悟,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会 総合大会,2012年03月
  • 低電圧カレントミラー回路を用いた高精度ナノアンペア電流源,北村 準也,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会 総合大会,2012年03月
  • 超低電力オペアンプの高速化技術,鶴屋 由美子,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,小林 修,電子情報通信学会 総合大会,2012年03月
  • 超低電圧ダイナミックコンパレータ回路のオフセットキャリブレーション手法の検討,増田 長太郎,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会 総合大会,2012年03月
  • 準連続モードで動作するデジタル制御昇圧回路,斉藤 友輔,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会 総合大会,2012年03月
  • 高分解能SAR ADCに向けた容量DACの面積削減の検討,山内 貴仁,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会 総合大会,2012年03月
  • 光エネルギー・ハーベスティングに向けたチャージポンプ回路の負荷電流特性改善,長井 崇浩,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会 総合大会,2012年03月
  • 逆流電流削減による差動型整流回路の変換効率改善,塚元 瑞穂,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,橋本昌宜,電子情報通信学会 総合大会,2012年03月
  • カラー画像の品質評価に関する検討,泉 直孝,中矢 知宏,河嶋和美,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会 パターン認識・メディア理解研究会,2012年03月
  • 適応バイアス電流生成技術を用いたナノワットパワー・オペアンプの高速化,鶴屋 由美子,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,小林 修,STARCシンポジウムFY2011,2012年02月
  • 学習型超解像のための高能率な辞書,橋本 明信,中矢 知宏,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会 画像工学研究会,2011年11月
  • 超低電力CMOS温度センサの評価,永山 淑,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会 ソサイエティ大会,2011年09月
  • 学習型超解像における高周波パッチの探索および生成手法,中矢 知宏,近松 慎伍,橋本 明信,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会・情報処理学会 第10回情報科学技術フォーラム(FIT2011),2011年09月
  • マルチモーダル入力に対応した重み付き多数決による識別器,辻 亮弥,西田 喬士,百崎 将志,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会・情報処理学会 第10回情報科学技術フォーラム(FIT2011),2011年09月
  • コンパレータのバラツキ補正回路を用いた弛張発振回路,志賀 誠一郎,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会 ソサイエティ大会,2011年09月
  • 修正可能な外部出力数に着目した部分修正に基づく論理診断手法,松山 友紀,渡辺 浩介,千崎 弘人,廣瀬 哲也,黒木 修隆,沼 昌宏,情報処理学会 DAシンポジウム2011,2011年08月
  • ビット構成の異なる加算器を組み合わせた木構造部分積加算回路による乗算器のグリッチ削減,Son Yang-uk,雫 譲,小暮 武,廣瀬 哲也,黒木 修隆,沼 昌宏,情報処理学会 DAシンポジウム2011,2011年08月
  • SVMに基づく画像認識処理のGPUを用いた高速化手法,佐々木 仁,雫 譲,廣瀬 哲也,黒木 修隆,沼 昌宏,情報処理学会 DAシンポジウム2011,2011年08月
  • 超低電圧ディジタル回路に向けた入出力論理補正レベルシフタ回路,大﨑 勇士,廣瀬 哲也,黒木 修隆,沼 昌宏,電子情報通信学会 集積回路研究会,2011年07月
  • 基板バイアス制御を用いた超低電圧センスアンプ回路の高速化,増田 長太郎,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会 集積回路研究会,2011年07月
  • 微小オフセット電圧による温度特性を改善した基準電流源回路,大﨑 勇士,廣瀬 哲也,黒木 修隆,沼 昌宏,電子情報通信学会 第24回 シリコンアナログRF研究会,2011年03月
  • 熱電変換素子を用いた電力変換インターフェース回路,斉藤 友輔,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会,2011年03月
  • 超低電力CMOSスマート温度センサ回路,永山 淑,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会,2011年03月
  • 超低電圧SRAM用センスアンプ回路のプリチャージ動作の高速化,増田 長太郎,廣瀬 哲也,大﨑 勇士,松本 啓,黒木 修隆,沼 昌宏,電子情報通信学会総合大会,2011年03月
  • 極低消費電力バンドギャップリファレンス回路の高精度化,北村 準也,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会,2011年03月
  • ナノアンペア電流源回路の電流バラツキ補正,磯野 航輔,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会,2011年03月
  • サブスレッショルド・ディジタルLSIに向けた遅延制御回路技術,志賀 誠一郎,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会,2011年03月
  • サブスレッショルドLSIに適したオンチップ電源回路の検討,中村 大悟,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会,2011年03月
  • A 95-nA, 523ppm/C, 0.6-uW CMOS Current Reference Circuit with Subthreshold MOS Resistor Ladder,OSAKI Yuji,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,The 16th Asia and South Pacific Design Automation Conference,2011年01月
  • A CMOS Bandgap and Sub-Bandgap Voltage Reference Circuits for Nanowatt Power LSIs,HIROSE Tetsuya,UENO Ken,KUROKI Nobutaka,NUMA Masahiro,IEEE Asian Solid-State Circuits Conference 2011,2010年11月
  • MOSFETのしきい値電圧を参照した極低電力LSI用基準電圧源回路,廣瀬 哲也,北海道地域3大学新技術説明会,2010年10月
  • An incremental synthesis technique for ECO based on iterative procedure for error diagnosis and spare cell assignment,WATANABE Kosuke,SENZAKI Hiroto,SHIOKI Kosuke,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,Synthesis And System Integration of Mixed Information technologies 2010 (SASIMI2010),2010年10月
  • An incremental synthesis technique based on error diagnosis and technology remapping for clusters,SENZAKI Hiroto,WATANABE Kosuke,SHIOKI Kosuke,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,Synthesis And System Integration of Mixed Information technologies 2010 (SASIMI2010),2010年10月
  • 入力信号間に生じる遅延を考慮した桁上げ吸収回路の低消費電力化,雫 譲,藤岡 達也,小暮 武,廣瀬 哲也,黒木 修隆,沼 昌宏,DA シンポジウム2010,2010年09月
  • 書き込み安定性を向上させたサブスレッショルドSRAM,松本 啓,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会 ソサイエティ大会,2010年09月
  • 局所ヒストグラムの時間変動に着目したディゾルブ検出手法,前田 憲志,田中 孝志,黒木 修隆,廣瀬 哲也,沼 昌宏,第9回情報科学技術フォーラム (FIT2010),2010年09月
  • クラスタ単位のセル割当てを用いた論理再合成手法,千崎 弘人,渡辺 浩介,塩木 講輔,廣瀬 哲也,黒木 修隆,沼 昌宏,DA シンポジウム2010,2010年09月
  • ウェーブレット係数の主成分分析を用いた学習型超解像,中矢 知宏,近松 慎伍,黒木 修隆,廣瀬 哲也,沼 昌宏,第9回情報科学技術フォーラム (FIT2010),2010年09月
  • TFFを用いた相補構成スイッチトキャパシタ型DC-DC コンバータ,辻川 琢也,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会 ソサイエティ大会,2010年09月
  • Temperature Compensated Nano-Ampere CMOS Current Reference Circuit Using Small Offset Voltage,OSAKI Yuji,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,2010 International Conference on Solid State Devices and Materials,2010年09月
  • A nano-ampere current reference circuit and its temperature dependence control by using temperature characteristics of carrier mobilities,HIROSE Tetsuya,OSAKI Yuji,KUROKI Nobutaka,NUMA Masahiro,The 36th European Solid-State Circuits Conference,2010年09月
  • 適応バイアス技術を用いた超低電力コンパレータのチップ測定,椿 啓志,廣瀬 哲也,黒木 修隆,沼 昌宏,STARCフォーラム/シンポジウム2010,2010年08月
  • Write-Assisted Subthreshold SRAM by Using On-Chip Threshold Voltage Monitoring Circuit,MATSUMOTO Kei,HIROSE Tetsuya,OSAKI Yuji,KUROKI Nobutaka,NUMA Masahiro,2010 IEEE International 53rd Midwest Symposium on Circuits and Systems,2010年08月
  • Nano-Ampere CMOS Current Reference with Little Temperature Dependence Using Small Offset Voltage,OSAKI Yuji,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,2010 IEEE International 53rd Midwest Symposium on Circuits and Systems,2010年08月
  • 低電圧ディジタルLSIのためのレベルコンバータ回路,大﨑 勇士,廣瀬 哲也,黒木 修隆,沼 昌宏,電子情報通信学会 集積回路研究会,2010年07月
  • Reference Circuit Design for Nano-Power Subthreshold CMOS LSIs,HIROSE Tetsuya,2010 CMOS Emerging Technologies Workshop,2010年06月
  • 超低電力で動作するオンチップ参照クロック源,磯野 航輔,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,LSIとシステムのワークショップ2010,2010年05月
  • サブスレッショルド・ディジタル回路のためのオンチップ遅延バラツキ補正回路の評価,大﨑 勇士,廣瀬 哲也,松本 啓,辻川 琢也,黒木 修隆,沼 昌宏,LSIとシステムのワークショップ2010,2010年05月
  • サブスレッショルドLSIにむけた低電圧レギュレータ回路,永山 淑,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,LSIとシステムのワークショップ2010,2010年05月
  • Super-Resolution Technique for Thermography with Dual-Camera System,CHIKAMATSU Shingo,NAKAYA Tomohiro,KODA Masakazu,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,IEEE International Symposium on Circuits and Systems (ISCAS 2010),2010年05月
  • 適応バイアス技術を用いた極低消費電流コンパレータ,椿 啓志,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会,2010年03月
  • 低電圧サブスレッショルドLSIに向けたリニア・レギュレータ回路,永山 淑,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会,2010年03月
  • 超低電力サブスレッショルドCMOS回路に向けた電流源回路,廣瀬 哲也,電子情報通信学会総合大会,2010年03月
  • デューティ制御回路を用いたスイッチトキャパシタ型DC-DCコンバータ,辻川 琢也,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会,2010年03月
  • サブスレッショルドCMOSディジタル回路の遅延バラツキ補正アーキテクチャの評価,大崎 勇士,廣瀬 哲也,松本 啓,黒木 修隆,沼 昌宏,電子情報通信学会総合大会,2010年03月
  • Source-Coupled Logic回路を用いたサブスレッショルドSRAMセルの検討,松本 啓,廣瀬 哲也,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会,2010年03月
  • PVTバラツキ耐性を有する基準クロック発振回路,磯野 航輔,廣瀬 哲也,大崎 勇士,松本 啓,黒木 修隆,沼 昌宏,電子情報通信学会総合大会,2010年03月
  • 回路構造を考慮した修正箇所候補抽出に基づく論理診断手法,塩木 講輔,渡辺 浩介,岡田 匠史,石原 俊郎,廣瀬 哲也,黒木 修隆,沼 昌宏,情報処理学会研究報告,2009年12月
  • ハイブリッド型CMOS論理構成の4-2加算器による乗算器のグリッチ削減,小暮 武,藤岡 達也,雫 譲,廣瀬 哲也,黒木 修隆,沼 昌宏,情報処理学会研究報告,2009年12月
  • クロス形状フラクタルを用いた画像の高解像度化,近松 慎伍,中矢 知宏,黒木 修隆,廣瀬 哲也,沼 昌宏,電子情報通信学会第24回信号処理シンポジウム,2009年11月
  • 極低電力サブスレッショルド・ディジタル回路のオンチップ遅延バラツキ補正技術,大崎 勇士,廣瀬 哲也,松本 啓,黒木 修隆,沼 昌宏,電子情報通信学会 集積回路研究会,2009年10月
  • 極低消費電力LSIのためのCMOS参照電流源回路,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電気学会電子回路研究会,2009年10月
  • 素子のクラスタリングを用いた論理診断手法,塩木 講輔,岡田 匠史,渡辺 浩介,廣瀬 哲也,黒木 修隆,沼 昌宏,情報処理学会DAシンポジウム2009,2009年08月
  • 信号線欠落に対応した論理診断における変更箇所数削減,渡辺 浩介,塩木 講輔,岡田 匠史,廣瀬 哲也,黒木 修隆,沼 昌宏,情報処理学会DAシンポジウム2009,2009年08月
  • 逆流電流遮断による同期整流型DC-DCコンバータの電力変換効率改善,辻川 琢也,廣瀬 哲也,大崎 勇士,松本 啓,黒木 修隆,沼 昌宏,東京大学VDECデザイナーズフォーラム2009,2009年06月
  • PVTバラツキ特性を改善したサブスレッショルド電流源,松本 啓,廣瀬 哲也,鬼頭 豊明,大崎 勇士,黒木 修隆,沼 昌宏,東京大学VDECデザイナーズフォーラム2009,2009年06月
  • 低電圧CMOSディジタル集積回路のためのPVTバラツキ補償技術,次田 祐輔,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会 LSIとシステムのワークショップ2009,2009年05月
  • サブスレッショルド・ディジタル回路における遅延時間制御の一設計手法,大崎 勇士,廣瀬 哲也,松本 啓,辻川 琢也,黒木 修隆,沼 昌宏,電子情報通信学会 LSIとシステムのワークショップ2009,2009年05月
  • インダクタの逆流電流検出回路を用いた高効率同期整流型DC-DCコンバータ,辻川 琢也,廣瀬 哲也,大崎 勇士,松本 啓,黒木 修隆,沼 昌宏,電子情報通信学会 LSIとシステムのワークショップ2009,2009年05月
  • On-chip PVT compensation techniques for low-voltage CMOS digital LSIs,TSUGITA Yusuke,UENO Ken,HIROSE Tetsuya,ASAI Tetsuya,AMEMIYA Yoshihito,2009 International Symposium on Circuits and Systems,2009年05月
  • MOSFETのキャリア移動度温度特性を利用した基準電流源回路,松本 啓,廣瀬 哲也,鬼頭 豊明,大崎 勇士,黒木 修隆,沼 昌宏,電子情報通信学会 LSIとシステムのワークショップ2009,2009年05月
  • 電源電圧制御によるサブスレッショルド・ディジタル回路のプロセスバラツキ補正技術,大﨑 勇士,廣瀬 哲也,松本 啓,黒木 修隆,沼 昌宏,電子情報通信学会総合大会,2009年03月
  • 軽負荷動作時の逆流電流損失を改善した同期整流型DC-DCコンバータ,辻川 琢也,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会,2009年03月
  • サブスレッショルドCMOS LSIに向けたスイッチトキャパシタ型DC-DCコンバータ,石井 翔,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会,2009年03月
  • MOSFETのしきい値電圧差を利用した参照電圧源回路,松本 啓,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会,2009年03月
  • MOSFETのキャリア移動度温度特性を利用した基準電流源回路,鬼頭 豊明,廣瀬 哲也,大﨑 勇士,黒木 修隆,沼 昌宏,電子情報通信学会総合大会,2009年03月
  • CMOSディジタルシステムのためのPVTバラツキ補正回路,次田 祐輔,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会,2009年03月
  • An error diagnosis technique based on location sets to rectify subcircuits,SHIOKI Kosuke,OKADA Narumi,ISHIHARA Toshiro,HIROSE Tetsuya,KUROKI Nobutaka,NUMA Masahiro,15th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI 2009),2009年03月
  • A 300 nW, 7 ppm/°C CMOS voltage reference circuit based on subthreshold MOSFETs,K. Ueno,HIROSE Tetsuya,T. Asai,Y. Amemiya,The 14th Asia and South Pacific Design Automation Conference,2009年01月
  • CMOSトランジスタの物理特性を利用した集積回路設計,廣瀬 哲也,KOBE工学サミット,2008年12月
  • An On-Chip Threshold Voltage Difference Monitor Circuit for Nano-Power Sub-threshold Digital LSIs,K. Matsumoto,HIROSE Tetsuya,Y. Osaki,KUROKI Nobutaka,NUMA Masahiro,Workshop on Information, Nano, and Photonics Technology,2008年11月
  • A 46-ppm/°C temperature and process compensated current reference with on-chip threshold voltage monitoring circuit,K. Ueno,HIROSE Tetsuya,T. Asai,Y. Amemiya,IEEE Asian Solid-State Circuits Conference 2008,2008年11月
  • 低電圧CMOSディジタル回路の特性バラツキ補償技術の構築,次田 祐輔,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会 集積回路研究会,2008年10月
  • サブスレッショルドMOSFETを用いた超低消費電力CMOS参照電圧源回路,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会 集積回路研究会,2008年10月
  • 低電圧CMOSディジタル回路のプロセス・温度バラツキ補正技術,次田 祐輔,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会,2008年09月
  • A 0.3-uW, 7 ppm, oC CMOS voltage reference circuit for on-chip process monitoring in analog circuits,K. Ueno,HIROSE Tetsuya,T. Asai,Y. Amemiya,The 34th European Solid-State Circuits Conference,2008年09月
  • Low voltage operation of master-slave flip-flops for ultra-low power subthreshold LSIs,T. Ogawa,HIROSE Tetsuya,T. Asai,Y. Amemiya,The International Conference on Electrical Engineering 2008,2008年07月
  • 低電圧CMOSディジタル回路のプロセス・温度バラツキ補正アーキテクチャ構築,次田 祐輔,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,VDECデザイナーフォーラム2008,2008年06月
  • サブスレッショルド領域におけるCMOSディジタル回路動作の解析,小川 太一,廣瀬 哲也,浅井 哲也,雨宮 好仁,VDECデザイナーフォーラム2008,2008年06月
  • CMOSアナログ回路のチップ間特性バラツキ補正技術のための参照電圧源,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,VDECデザイナーフォーラム2008,2008年06月
  • 熱伝導による発振器の動作解析,平井 孝明,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会,2008年03月
  • 低電圧CMOSディジタル回路の特性バラツキ補正アーキテクチャ,次田 祐輔,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会,2008年03月
  • 少数キャリア反応拡散デバイスにおける分裂・増殖パターンの発生,河端 和義,浅井 哲也,廣瀬 哲也,雨宮 好仁,日本物理学会第63回年次大会,2008年03月
  • 時系列コーディングを行う神経モデルのアナログCMOS回路化,藤田 大地,Tovar Gessyca Maria,浅井 哲也,廣瀬 哲也,雨宮 好仁,電子情報通信学会総合大会,2008年03月
  • しきい素子を用いた確率共鳴現象の電子回路実験〜雑音を利用した微弱信号検出 LSI に向けて〜,佐橋 透,宇田川 玲,浅井 哲也,廣瀬 哲也,雨宮 好仁,電子情報通信学会総合大会,2008年03月
  • サブスレッショルドMOS回路によるWinner-Take-All回路,小川 太一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会,2008年03月
  • サブスレッショルドMOS演算増幅器によるオフセット除去,飯田 智貴,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会,2008年03月
  • アナログ回路のプロセスばらつき補正のための参照電圧源回路,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会,2008年03月
  • Noise-induced phase synchronization between nonidentical analog CMOS osscillators,A. Utagawa,T. Asai,HIROSE Tetsuya,Y. Amemiya,2008 RISP International Workshop on Nonlinear Circuits and Signal Processing,2008年03月
  • Neuromorphic MOS circuits implementing a temporal coding neural model,G. M. Tovar,D. Fujita,T. Asai,HIROSE Tetsuya,Y. Amemiya,2008 RISP International Workshop on Nonlinear Circuits and Signal Processing,2008年03月
  • Colllision-Based Computingに基づく単一磁束量子論理回路,山田 和人,浅井 哲也,廣瀬 哲也,雨宮 好仁,電子情報通信学会総合大会,2008年03月
  • 低電源電圧動作時におけるマスタースレーブフリップフロップ回路の動作検討,小川 太一,廣瀬 哲也,浅井 哲也,雨宮 好仁,第11回システムLSIワークショップ,2007年11月
  • 雑音を利用したオンチップマルチクロック源の位相同期手法,宇田川 玲,浅井 哲也,廣瀬 哲也,雨宮 好仁,第11回システムLSIワークショップ,2007年11月
  • Threshold-logic systems consisting of subthreshold CMOS circuits,T. Ogawa,HIROSE Tetsuya,T. Asai,Y. Amemiya,2007 IEEJ International Analog VLSI Workshop,2007年11月
  • MOSFETの特性バラツキ補正技術に向けた参照電圧源回路,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,第11回システムLSIワークショップ,2007年11月
  • Analog CMOS circuits implementing neural segmentation model based on symmetric STDP learning,G. M. Tover,E. S. Fukuda,T. Asai,HIROSE Tetsuya,Y. Amemiya,14th International Conference on Neural Information Processing,2007年11月
  • 熱伝導を利用した移相発振器,平井 孝明,廣瀬 哲也,浅井 哲也,雨宮 好仁,VDECデザイナーフォーラム2007 若手の会,2007年09月
  • 熱伝導による位相遅れを利用したCMOS発振回路,平井 孝明,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会,2007年09月
  • 少数キャリア拡散に基づく固体反応拡散系を用いたダイオード型機能素子,河端 和義,浅井 哲也,廣瀬 哲也,雨宮 好仁,日本物理学会第62回年次大会,2007年09月
  • マスタースレーブフリップフロップ回路の低電圧動作解析,小川 太一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会,2007年09月
  • フォトニック結晶デバイス向け組み合わせ光論理回路の設計手法,山田 和人,浅井 哲也,齊藤 晋聖,廣瀬 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会,2007年09月
  • サブスレッショルドCMOS回路によるしきい値電圧を参照した基準電圧源回路,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会,2007年09月
  • Noise-induced synchronization among sub-RF CMOS neural oscillators for skew-free clock distribution,A. Utagawa,T. Asai,HIROSE Tetsuya,Y. Amemiya,2007 International Symposium on Nonlinear Theory and its Applications,2007年09月
  • Neuromorphic LSI circuits for critical temperature detection,Tovar Gessyca Maria,浅井 哲也,廣瀬 哲也,雨宮 好仁,VDECデザイナーフォーラム2007 若手の会,2007年09月
  • Collision-based fusion gateによる組み合わせ論理回路〜小面積・低消費電力化に向けた設計手法〜,山田 和人,浅井 哲也,廣瀬 哲也,雨宮 好仁,VDECデザイナーフォーラム2007 若手の会,2007年09月
  • CMOS voltage reference based on the threshold voltage of a MOSFET,K. Ueno,HIROSE Tetsuya,T. Asai,Y. Amemiya,2007 International Conference on Solid State Devices and Materials,2007年09月
  • 相互結合をもたないニューロン電子回路における雑音を使ったタイミングずれの無い位相同期現象,宇田川 玲,浅井 哲也,廣瀬 哲也,雨宮 好仁,脳と心のメカニズム 第8回夏のワークショップ,2007年08月
  • Neuromorphic CMOS circuits implementing a novel neural segmentation model based on symmetric STDP learning,G. M. Tovar,E. S. Fukuda,T. Asai,HIROSE Tetsuya,Y. Amemiya,2007 International Joint Conference on Neural Networks,2007年08月
  • MOSFETのしきい値電圧を参照した基準電圧源回路,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会 集積回路研究会,2007年07月
  • MOSFETのサブスレッショルド特性を利用した新機能LSI応用技術,廣瀬 哲也,IEEE Circuits and Systems Society, Kansai Chapter,2007年07月
  • Multi-valued logic circuits consisting of single-electron devices,A. K. Kikombo,HIROSE Tetsuya,T. Asai,Y. Amemiya,2007 Silicon Nanoelectronics Workshop,2007年06月
  • Floating millivolt reference for PTAT current generation in subthreshold MOS LSIs,K. Ueno,HIROSE Tetsuya,T. Asai,Y. Amemiya,2007 IEEE International Symposium on Circuits and Systems,2007年05月
  • サブスレッショルドMOS特性を利用したPTAT電流生成のための微小フローティング電圧源回路,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,第20回 回路とシステム軽井沢ワークショップ,2007年04月
  • サブスレッショルドMOS回路によるしきい論理システム,小川 太一,廣瀬 哲也,浅井 哲也,雨宮 好仁,第20回 回路とシステム軽井沢ワークショップ,2007年04月
  • 単電子の位相ロッキングを利用した多値論理回路,Kikombo Andrew Kilinga,廣瀬 哲也,浅井 哲也,雨宮 好仁,応用物理学会春季大会,2007年03月
  • サブスレッショルドMOS回路によるしきい論理ゲート,小川 太一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会,2007年03月
  • サブスレッショルドLSIのための基準電流源回路,吉井 一馬,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会,2007年03月
  • MOSFETのサブスレッショルド特性を利用したPTAT電流生成用フローティング電圧源,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会,2007年03月
  • Critical temperature sensor based on a spiking neuron models: experimental results with discrete MOS circuits,G. M. Tovar,T. Asai,HIROSE Tetsuya,Y. Amemiya,2007 RISP International Workshop on Nonlinear Circuits and Signal Processing,2007年03月
  • Collision-based fusion gateの電源電圧-動作周波数特性,山田 和人,浅井 哲也,廣瀬 哲也,雨宮 好仁,電子情報通信学会総合大会,2007年03月
  • A novel segmentation model for neuromorphic CMOS circuits,E. S. Fukuda,T. Asai,HIROSE Tetsuya,Y. Amemiya,2007 RISP International Workshop on Nonlinear Circuits and Signal Processing,2007年03月
  • An inhibitory neural network circuit exhibiting noise shaping with subthreshold MOS neuron circuits,A. Utagawa,T. Asai,HIROSE Tetsuya,Y. Amemiya,2007 RISP International Workshop on Nonlinear Circuits and Signal Processing,2007年03月
  • 磁束量子回路によるスパイクニューロン回路とその応用,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会 電子デバイス,2007年02月
  • Ultralow-power smart temperature sensor with subthreshold CMOS circuits,K. Ueno,HIROSE Tetsuya,T. Asai,Y. Amemiya,2006 International Symposium on Intelligent Signal Processing and Communication Systems,,2006年12月
  • Power supply circuits for ultralow-power subthreshold CMOS smart sensor LSIs,HIROSE Tetsuya,T. Asai,Y. Amemiya,2006 International Symposium on Intelligent Signal Processing and Communication Systems,,2006年12月
  • Critical temperature switch: a highly sensitive thermosensing device consisting of subthreshold MOSFET circuits,A. Hagiwara,HIROSE Tetsuya,T. Asai,Y. Amemiya,2006 International Symposium on Intelligent Signal Processing and Communication Systems,2006年12月
  • 極低消費電力CMOSインテリジェント温度センサLSI,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,第10回システムLSIワークショップ,2006年11月
  • 興奮系を用いた臨界温度センサ集積回路,浅井 哲也,廣瀬 哲也,Tovar Gessyca Maria,雨宮 好仁,日本物理学会第62回年次大会,2006年09月
  • 温度検出スイッチ回路のしきい温度解析,萩原 淳史,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会,2006年09月
  • サブスレッショルドVLSIニューロン回路によるノイズシェーピング・パルス密度変調,宇田川 玲,浅井 哲也,廣瀬 哲也,雨宮 好仁,日本神経回路学会 第16回全国大会,2006年09月
  • サブスレッショルドMOSを利用したスマート温度センサLSI,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会,2006年09月
  • Noise shaping pulse-density modulation in inhibitory neural networks with noise-sensitive subthreshold neuron circuits,A. Utagawa,T. Asai,HIROSE Tetsuya,Y. Amemiya,3rd International Conference of Brain-inspired Information Technology,2006年09月
  • MOSFETのサブスレッショルド特性を利用した新機能LSI応用技術,廣瀬 哲也,VDECデザイナーフォーラム2006(若手の会),2006年09月
  • Critical temperature sensor based on spiking neuron models,G. M. Tovar,HIROSE Tetsuya,T. Asai,Y. Amemiya,2006 International Symposium on Nonlinear Theory and its Applications (WIP session),2006年09月
  • Collision-based fusion gateを用いた16bit乗算器の設計,山田 和人,浅井 哲也,廣瀬 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会,2006年09月
  • 単電子結合振動子の非線形現象,Kikombo Andrew Kilinga,廣瀬 哲也,浅井 哲也,雨宮 好仁,応用物理学会秋季大会,2006年08月
  • 広範囲な活性化エネルギーに適応可能なCMOS品質劣化モニタセンサLSI,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会 集積回路研究会,2006年08月
  • サブスレッショルドMOSFETを用いた温度検出スイッチ回路,萩原 淳史,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会 集積回路研究会,2006年08月
  • MOSFETのサブスレッショルド特性を利用したスマート温度センサLSIの検討,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会 集積回路研究会,2006年07月
  • Non-linear dynamical systems consisting of single-electron oscillators,A. K. Kikombo,HIROSE Tetsuya,T. Asai,Y. Amemiya,14th International Workshop on Nonlinear Dynamics of Electronic Systems,2006年06月
  • A watchdog sensor for assuring the quality of various perishables with subthreshold CMOS circuits,K. Ueno,HIROSE Tetsuya,T. Asai,Y. Amemiya,2006 Symposium on VLSI Circuits,2006年06月
  • サブスレッショルドMOSFETのためのスイッチトキャパシタ型DC-DCコンバータ,廣瀬 哲也,浅井 哲也,雨宮 好仁,第19回 回路とシステム軽井沢ワークショップ,2006年04月
  • 様々な食品に対応したCMOS品質劣化モニタセンサ,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会,2006年03月
  • ノイズを利用してΔΣ変調を行うサブスレッショルドCMOS回路,宇田川 玲,浅井 哲也,廣瀬 哲也,雨宮 好仁,電子情報通信学会総合大会,2006年03月
  • サブスレッショルド領域動作LSIのためのスイッチトキャパシタDC-DCコンバータ,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会,2006年03月
  • Precisely-timed synchronization among spiking neural circuits on analog VLSIs,G. M. Tovar,HIROSE Tetsuya,T. Asai,Y. Amemiya,2006 RISP International Workshop on Nonlinear Circuits and Signal Processing,2006年03月
  • MOS論理ゲート回路のサブスレッショルド動作,宮川 敬,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会,2006年03月
  • Collision-Based Computing に基づく論理回路設計,山田 和人,浅井 哲也,廣瀬 哲也,雨宮 好仁,電子情報通信学会総合大会,2006年03月
  • 広範囲な活性化エネルギーに対応したCMOS品質劣化モニタセンサ,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,第9回システムLSIワークショップ,2005年11月
  • シリコン神経回路網におけるスパイクニューロン回路の高精度同期〜積分発火型ニューロンと減衰シナプス, STDP学習回路の回路実装〜,廣瀬 哲也,Schmid Alexandre,浅井 哲也,Leblebici Yusuf,雨宮 好仁,電子情報通信学会 ニューロコンピューティング研究会,2005年11月
  • CMOSサブスレッショルド領域特性を利用した温度検出スイッチ回路,萩原 淳史,廣瀬 哲也,山田 寛之,浅井 哲也,雨宮 好仁,第9回システムLSIワークショップ,2005年11月
  • Ultralow-power temperature-insensitive current reference circuit,HIROSE Tetsuya,T. Matsuoka,K. Taniguchi,T. Asai,Y. Amemiya,4th IEEE Conference on Sensors,2005年10月
  • Single-flux-quantum circuits for spiking neuron devices,HIROSE Tetsuya,K. Ueno,T. Asai,Y. Amemiya,2nd International Conference of Brain-inspired Information Technology,2005年10月
  • A CMOS watch-dog sensor for guaranteeing the quality of perishables,K. Ueno,HIROSE Tetsuya,T. Asai,Y. Amemiya,4th IEEE Conference on Sensors,2005年10月
  • 磁束量子回路によるスパイクニューロンデバイス,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会,2005年09月
  • CMOS回路による温度検出スイッチ,萩原 淳史,廣瀬 哲也,山田 寛之,浅井 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会,2005年09月
  • Analog current-mode CMOS implementation of central pattern generator for robot locomotion,K. Nakada,T. Asai,HIROSE Tetsuya,Y. Amemiya,International Joint Conference on Neural Networks 2005,2005年07月
  • Analog CMOS implementation of a neuromorphic oscillator with current-mode low-pass filters,K. Nakada,T. Asai,HIROSE Tetsuya,Y. Amemiya,IEEE International Symposium on Circuits and Systems,2005年05月
  • サブスレッショルドMOSFETを用いた劣化モニター回路,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,第18回 回路とシステム軽井沢ワークショップ,2005年04月
  • 単電子反応拡散デバイスの計算幾何学への応用〜ボロノイ図の構成,大矢 剛嗣,廣瀬 哲也,浅井 哲也,雨宮 好仁,応用物理学会春季大会,2005年03月
  • 少数キャリア拡散によるCMOS反応拡散系のダイナミクス,高橋 基容,大矢 剛嗣,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会,2005年03月
  • 弱反転MOS LSIセンサのための基準電圧・電流源回路,廣瀬 哲也,松岡 俊匡,谷口 研二,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会,2005年03月
  • 弱反転MOSFETを用いた品質管理・温度履歴モニタ回路,上野 憲一,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会総合大会,2005年03月
  • 減衰シナプスの単電子回路化とその熱雑音特性,大矢 剛嗣,浅井 哲也,加賀谷 亮,廣瀬 哲也,雨宮 好仁,電子情報通信学会 ニューロコンピューティング研究会,2005年03月
  • Depressing properties of a hardware synapse on a single-layer nanodot array,T. Oya,T. Asai,R. Kagaya,HIROSE Tetsuya,Y. Amemiya,2005 RISP International Workshop on Nonlinear Circuits and Signal Processing,2005年03月
  • 単電子ニューロデバイスの熱雑音特性に関する数値的考察,大矢 剛嗣,浅井 哲也,加賀谷 亮,廣瀬 哲也,雨宮 好仁,電子情報通信学会 SDM/ED合同研究会,2005年01月
  • Neuromorphic single-electron circuit and its application to temporal-domain neural competition,T. Oya,T. Asai,R. Kagaya,HIROSE Tetsuya,Y. Amemiya,2004 International Symposium on Nonlinear Theory and its Applications,2004年11月
  • CMOS弱反転領域で動作する電源回路の設計に関する研究,廣瀬 哲也,松岡 俊匡,谷口 研二,浅井 哲也,雨宮 好仁,第8回システムLSIワークショップ,2004年11月
  • A CMOS reaction-diffusion device using minority-carrier diffusion in semiconductors,M. Takahashi,T. Oya,T. Asai,HIROSE Tetsuya,Y. Amemiya,2004 International Symposium on Nonlinear Theory and its Applications,2004年11月
  • Digital VLSI implementation of ultra-discrete cellular automata for simulating traffic flow,K. Nakada,T. Asai,HIROSE Tetsuya,Y. Amemiya,IEEE International Symposium on Communications and Information Technologies,2004年10月
  • 量子ナノ構造を用いた反応拡散型ニューラルネットワークの構成法,加賀谷 亮,大矢 剛嗣,浅井 哲也,廣瀬 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会,2004年09月
  • 単電子スパイクニューロンによる抑制型相互結合ニューラルネットの温度特性,加賀谷 亮,大矢 剛嗣,浅井 哲也,廣瀬 哲也,雨宮 好仁,日本神経回路学会第14回全国大会,2004年09月
  • 少数キャリア拡散を利用したCMOS反応拡散デバイス,高橋 基容,大矢 剛嗣,廣瀬 哲也,浅井 哲也,雨宮 好仁,電子情報通信学会ソサイエティ大会,2004年09月
  • セルオートマトンBZ反応モデルの集積回路化と計算機科学への応用,加賀谷 亮,浅井 哲也,廣瀬 哲也,雨宮 好仁,日本物理学会秋季大会,2004年09月
  • Watchdog circuit for product degradation monitor using subthreshold MOS current,HIROSE Tetsuya,R.Yoshimura,T. Ido,T. Matsuoka,K. Taniguchi,2004 International Conference on Solid State Devices and Materials,2004年09月
  • A quadrilateral-object composer for binary images with reaction-diffusion cellular automata,M. Ikebe,T. Asai,HIROSE Tetsuya,Y. Amemiya,2004 IEEE Asia-Pacific Conference on Advanced System Integrated Circuits,2004年08月
  • A competitive neural network with neuromorphic single-electron circuits,T. Oya,T. Asai,R. Kagaya,HIROSE Tetsuya,Y. Amemiya,5th International Conference on Biological Physics,2004年08月
  • 極低消費電力品質モニタ回路,廣瀬 哲也,吉村 隆治,井戸 徹,松岡 俊匡,谷口 研二,浅井 哲也,雨宮 好仁,電子情報通信学会 集積回路研究会,2004年07月
  • バイアス・オフセットを用いたCMOS Linear-in-dB 可変利得増幅回路の設計,車 承祐,廣瀬 哲也,春岡 正起,松岡 俊匡,谷口 研二,電子情報通信学会 集積回路研究会,2004年07月
  • CMOSプロセスによる弱反転動作PTAT参照電圧生成回路,宮本 潤,廣瀬 哲也,松岡 俊匡,谷口 研二,電子情報通信学会 集積回路研究会,2004年07月
  • A MOS circuit for depressing synapse and its application to contrast-invariant pattern classification and synchrony detection,T. Asai,Y. Kanazawa,HIROSE Tetsuya,Y. Amemiya,2004 International Joint Conference on Neural Networks,2004年07月
  • Si/SiO2界面へのボロン偏析 : 第一原理計算に基づく検証,古橋 壮之,金 良守,廣瀬 哲也,辻 博史,谷口 研二,応用物理学会春季大会,2003年03月
  • Boron segregation model at Si(100)/SiO2 interface,M. Furuhashi,HIROSE Tetsuya,H. Tsuji,M. Tachi,K. Taniguchi,10th International Conference on Defects-Recognition, Imaging and Physics in Semiconductors,2002年09月
  • 低エネルギー注入におけるボロン原子損失,古橋 壮之,金 良守,車野 敏文,廣瀬 哲也,辻 博史,谷口 研二,応用物理学会春季大会,2002年03月
  • Realization of ultra-shallow junction: suppressed boron diffusion and activation by optimization fluorine co-implantation,T. Shano,R. Kim,HIROSE Tetsuya,Y. Furuta,H. Tsuji,Y. Kamakura,K. Taniguchi,2001 International Electron Devices Meeting,2001年12月
  • Photoluminescence study of {311} defect-precursors in self-implanted silicon,H. Tsuji,R. Kim,T. Shano,HIROSE Tetsuya,Y. Kamakura,K. Taniguchi,9th International Conference on Defects-Recognition, Imaging and Physics in Semiconductors,2001年09月
  • Atomic configuration study of implanted F in Si based on experimental evidence and ab-initio calculations,HIROSE Tetsuya,T. Shano,R. Kim,H. Tsuji,Y. Kamakura,K. Taniguchi,9th International Conference on Defects-Recognition, Imaging and Physics in Semiconductors,2001年09月
  • アモルファス化注入ダメージによるバックグラウンドBおよびP再分布のシミュレーション,金 良守,廣瀬 哲也,車野 敏文,辻 博史,古橋 壮之,谷口 研二,応用物理学会シリコンテクノロジー分科会第31回研究集会,2001年08月
  • 第一原理計算によるシリコン基板中のフッ素に関する研究,廣瀬 哲也,車野 敏文,金 良守,古田 善一,林 俊介,鎌倉 良成,谷口 研二,応用物理学会春季大会,2001年03月
  • 高ドーズIn注入時の異常拡散メカニズム,林 俊介,金 良守,車野 敏文,廣瀬 哲也,鎌倉 良成,谷口 研二,応用物理学会春季大会,2001年03月
  • 欠陥のPL発光効率のサイズ依存性,辻 博史,久保 等,金 良守,林 俊介,車野 敏文,廣瀬 哲也,鎌倉 良成,濱口 智尋,谷口 研二,応用物理学会春季大会,2001年03月
  • ホールのダイレクトトンネリングによる極薄酸化膜の劣化特性,出口 和亮,宇野 重康,石田 明寛,廣瀬 哲也,鎌倉 良成,谷口 研二,薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会極薄シリコン酸化膜の形成・評価・信頼性 第6回研究会,2001年01月
  • ホールのダイレクトトンネリングによる極薄酸化膜の劣化特性,出口 和亮,宇野 重康,石田 明寛,廣瀬 哲也,鎌倉 良成,谷口 研二,応用物理学会シリコンテクノロジー分科会第25回研究集会,2001年01月
  • Modeling of arsenic transient enhanced diffusion and background boron segregation in low-energy As+ implanted Si,R. Kim,T. Aoki,HIROSE Tetsuya,Y. Furuta,S. Hayashi,Y. Kamakura,K. Taniguchi,2000 International Electron Devices Meeting,2000年12月
  • Degradation of ultra-thin gate oxides accompanied by hole direct tunneling: can we keep long-term reliability of p-MOSFETs?,K. Deguchi,S. Uno,A. Ishida,HIROSE Tetsuya,Y. Kamakura,K. Taniguchi,2000 International Electron Devices Meeting,2000年12月
  • 高ドーズIn注入時における過渡増速拡散,林 俊介,金 良守,古田 善一,車野 敏文,廣瀬 哲也,鎌倉 良成,谷口 研二,応用物理学会秋季大会,2000年09月
  • 炭素クラスタを含むボロンの増速拡散シミュレーション,小林 弘幸,金 良守,斉藤 朋也,古田 善一,廣瀬 哲也,鎌倉 良成,谷口 研二,応用物理学会春季大会,2000年03月
  • ボロンの過渡増速拡散の炭素濃度依存性,廣瀬 哲也,金 良守,青木 丈典,小林 弘幸,古田 善一,林 俊介,車野 敏文,鎌倉 良成,谷口 研二,応用物理学会春季大会,2000年03月
  • Si基板中におけるFの拡散,車野 敏文,金 良守,青木 丈典,小林 弘幸,古田 善一,林 俊介,廣瀬 哲也,鎌倉 良成,谷口 研二,応用物理学会春季大会,2000年03月

委員歴

  • 学協会,IEEE Asian Solid-State Circuits Conference (A-SSCC),Technical Program Committee Member, Analog Circuits and Systems,2011年03月 ~ 継続中
  • 学協会,電子情報通信学会 集積回路研究専門委員会,幹事,2020年05月 ~ 2022年05月
  • 学協会,電子情報通信学会 集積回路研究専門委員会,幹事補佐,2018年05月 ~ 2020年05月
  • 学協会,電子情報通信学会 回路とシステム研究専門委員会,専門委員,2013年05月 ~ 2018年05月
  • 学協会,IEEE International Conference on Very Large Scale Integration (VLSI-SoC),Technical Program Committee Member, Analog, mixed-signal, and sensor architectures,2018年 ~ 2018年
  • 学協会,IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, Special Section on “VLSI Design and CAD Algorithms,”,Guest Associate Editor,2011年 ~ 2018年
  • 学協会,IEEE Solid-State Circuits Society Kansai Chapter (SSC-37-Kansai),Secretary,2015年 ~ 2016年
  • 学協会,IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, Special Section on “Design Methodologies for System on a Chip”,Guest Associate Editor,2013年 ~ 2016年
  • 学協会,IEICE Transactions on Electronics, Special Section on “Analog Circuits and Related SoC Integration Technologies,”,Guest Associate Editor,2010年 ~ 2016年
  • 学協会,IEICE Electronics Express (ELEX),Editorial committe member, Editor,2012年05月 ~ 2015年05月
  • 学協会,電子情報通信学会 回路とシステムワークショップ,実行委員,2012年 ~ 2015年
  • 学協会,International conference on Solid State Devices and Materials (SSDM),Area 5 Subcommittee Member,2010年 ~ 2012年
  • 学協会,IEICE,集積回路研究専門委員会専門委員,2006年05月 ~ 2011年05月
  • 学協会,応用物理学会 関西支部,幹事(リフレッシュ理科 神戸 実行委員長),2009年 ~ 2011年
  • 学協会,15th Asia and South Pacific Design Automation Conference (ASP-DAC),University LSI Design contest committee member,2009年 ~ 2009年