EN

基本情報

研究

社会活動

その他の活動

渡部 平司

Watanabe Heiji

工学研究科 物理学系専攻,教授

学歴

  • ~ 1990年03月,大阪大学,工学研究科,精密工学専攻
  • ~ 1988年03月,大阪大学,工学部,精密工学科

経歴

  • 2022年07月 ~ 継続中,日本学術振興会 学術システム研究センター 主任研究員
  • 2020年04月01日 ~ 継続中,大阪大学 工学研究科 物理学系専攻,教授
  • 2019年08月 ~ 継続中,大阪大学大学院工学研究科副研究科長
  • 2017年04月 ~ 継続中,大阪大学・栄誉教授
  • 2014年04月 ~ 継続中,大阪大学大学院工学研究科執行部(総務室長)
  • 2006年11月01日 ~ 2020年03月31日,大阪大学 工学研究科 生命先端工学専攻,教授
  • 2006年11月 ~ 2020年03月,大阪大学大学院工学研究科生命先端工学専攻 教授
  • 2016年08月 ~ 2018年08月,大阪大学副理事
  • 2007年07月 ~ 2013年03月,大阪大学大学院工学研究科附属超精密科学研究センター長(兼任)
  • 2004年05月01日 ~ 2006年10月31日,大阪大学 工学研究科 精密科学・応用物理学専攻,助教授
  • 2004年05月 ~ 2006年10月,大阪大学大学院工学研究科精密科学専攻 助教授
  • 2001年06月 ~ 2004年04月,日本電気株式会社 シリコンシステム研究所 主任研究員
  • 2000年07月 ~ 2001年06月,日本電気株式会社 シリコンシステム研究所 主任
  • 1998年02月 ~ 2000年07月,日本電気株式会社 基礎研究所 主任
  • 1994年02月 ~ 1998年02月,技術研究組合オングストロームテクノロジ研究機構(JRCAT)
  • 1990年04月 ~ 1994年02月,日本電気株式会社 基礎研究所

所属学会

  • 日本表面真空学会
  • IEEE
  • 応用物理学会

論文

  • Characterization of nitrided SiC(1 <mml:math xmlns:mml="http://www.w3.org/1998/Math/MathML" altimg="si1.svg"><mml:mrow><mml:mover accent="true"><mml:mn>1</mml:mn><mml:mo>‾</mml:mo></mml:mover></mml:mrow></mml:math> 00) MOS structures by means of electrical measurements and X-ray photoelectron spectroscopy,Takuma Kobayashi,Asato Suzuki,Takato Nakanuma,Mitsuru Sometani,Mitsuo Okamoto,Akitaka Yoshigoe,Takayoshi Shimura,Heiji Watanabe,Materials Science in Semiconductor Processing,Elsevier BV,Vol. 175,p. 108251-108251,2024年06月,研究論文(学術雑誌)
  • Separate evaluation of interface and oxide hole traps in SiO<sub>2</sub>/GaN MOS structures with below- and above-gap light excitation,Takuma Kobayashi,Kazuki Tomigahara,Mikito Nozaki,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 17,No. 1,p. 011003-011003,2023年12月29日,研究論文(学術雑誌)
  • Design of SiO2/4H–SiC MOS interfaces by sputter deposition of SiO2 followed by high-temperature CO2-post deposition annealing,Tae-Hyeon Kil,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,AIP Advances,AIP Publishing,Vol. 13,No. 11,p. 115304-1-115304-5,2023年11月01日,研究論文(学術雑誌)
  • Oxygen-vacancy defect in 4H-SiC as a near-infrared emitter: An <i>ab initio</i> study,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,Journal of Applied Physics,AIP Publishing,Vol. 134,No. 14,p. 145701-1-145701-9,2023年10月11日,研究論文(学術雑誌)
  • Passivation of hole traps in SiO<sub>2</sub>/GaN metal-oxide-semiconductor devices by high-density magnesium doping,Hidetoshi Mizobata,Mikito Nozaki,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 16,No. 10,p. 105501-1-105501-4,2023年10月01日,研究論文(学術雑誌)
  • Interface and oxide trap states of SiO2/GaN metal–oxide–semiconductor capacitors and their effects on electrical properties evaluated by deep level transient spectroscopy,Shingo Ogawa,Hidetoshi Mizobata,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,Journal of Applied Physics,AIP Publishing,Vol. 134,No. 9,p. 095704-1-095704-7,2023年09月05日,研究論文(学術雑誌)
  • Control on the density and optical properties of color centers at SiO2/SiC interfaces by oxidation and annealing,Takato Nakanuma,Kosuke Tahara,Katsuhiro Kutsuki,Takayoshi Shimura,Heiji Watanabe,Takuma Kobayashi,Applied Physics Letters,AIP Publishing,Vol. 123,No. 10,p. 102102-1-102102-5,2023年09月04日,研究論文(学術雑誌)
  • Impact of Sn incorporation on sputter epitaxy of GeSn,Nobuyuki Tanaka,Mizuki Kuniyoshi,Kazuya Abe,Masaki Hoshihara,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 16,No. 9,p. 095502-095502,2023年09月01日,研究論文(学術雑誌)
  • Improvement of interface properties in SiC(0001) MOS structures by plasma nitridation of SiC surface followed by SiO<sub>2</sub> deposition and CO<sub>2</sub> annealing,Hiroki Fujimoto,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 16,No. 7,p. 074004-1-074004-4,2023年07月01日,研究論文(学術雑誌)
  • Formation of high-quality SiO<sub>2</sub>/GaN interfaces with suppressed Ga-oxide interlayer via sputter deposition of SiO<sub>2</sub>,Kentaro Onishi,Takuma Kobayashi,Hidetoshi Mizobata,Mikito Nozaki,Akitaka Yoshigoe,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,IOP Publishing,Vol. 62,p. 050903-1-050903-4,2023年05月16日,研究論文(学術雑誌)
  • Reduction of interface and oxide traps in SiO<sub>2</sub>/GaN MOS structures by oxygen and forming gas annealing,Bunichiro Mikake,Takuma Kobayashi,Hidetoshi Mizobata,Mikito Nozaki,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 16,No. 3,p. 031004-1-031004-4,2023年03月20日,研究論文(学術雑誌)
  • Fabrication and Luminescence Characterization of Ge Wires with Uniaxial Tensile Strains Applied using Internal Stresses in Deposited Metal Thin Films,Takayoshi Shimura,Shogo Tanaka,Takuji Hosoi,Heiji Watanabe,Journal of Electronic Materials,Springer Science and Business Media LLC,2023年03月06日,研究論文(学術雑誌)
  • Controllability of luminescence wavelength from GeSn wires fabricated by laser-induced local liquid phase crystallization on quartz substrates,Takayoshi Shimura,Ryoga Yamaguchi,Naoto Tabuchi,Masato Kondoh,Mizuki Kuniyoshi,Takuji Hosoi,Takuma Kobayashi,Heiji Watanabe,Japanese Journal of Applied Physics,IOP Publishing,Vol. 62,No. SC,p. SC1083-1-SC1083-5,2023年03月01日,研究論文(学術雑誌)
  • 局所液相成長法によって作製した単結晶GeSn細線の受光・発光特性,志村 考功,細井 卓治,小林 拓真,渡部 平司,レーザー研究,Vol. 50,No. 10,p. 565-569,2022年10月,研究論文(学術雑誌)
  • Degradation of NO-nitrided SiC MOS interfaces by excimer ultraviolet light irradiation,Hiroki Fujimoto,Takuma Kobayashi,Mitsuru Sometani,Mitsuo Okamoto,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 15,No. 10,p. 104004-104004,2022年10月01日,研究論文(学術雑誌)
  • Electrical properties and energy band alignment of SiO<sub>2</sub>/GaN metal-oxide-semiconductor structures fabricated on N-polar GaN( 0001¯) substrates,Hidetoshi Mizobata,Kazuki Tomigahara,Mikito Nozaki,Takuma Kobayashi,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,AIP Publishing,Vol. 121,No. 6,p. 062104-1-062104-6,2022年08月08日,研究論文(学術雑誌)
  • Impact of post-nitridation annealing in CO2 ambient on threshold voltage stability in 4H-SiC metal-oxide-semiconductor field-effect transistors,Takuji Hosoi,Momoe Ohsako,Kidist Moges,Koji Ito,Tsunenobu Kimoto,Mitsuru Sometani,Mitsuo Okamoto,Akitaka Yoshigoe,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,{IOP} Publishing,Vol. 69,No. 6,p. 061003-1-061003-5,2022年06月01日,研究論文(学術雑誌)
  • Toward Super Temporal Resolution by Suppression of Mixing Effects of Electrons,Nguyen Hoai Ngo,Takeharu Goji Etoh,Kazuhiro Shimonomura,Taeko Ando,Yoshiyuki Matsunaga,Takayoshi Shimura,Heiji Watanabe,Hideki Mutoh,Yoshinari Kamakura,Edoardo Charbon,IEEE Transactions on Electron Devices,Institute of Electrical and Electronics Engineers (IEEE),Vol. 69,No. 6,p. 2879-2885,2022年06月,研究論文(学術雑誌)
  • Comprehensive physical and electrical characterizations of NO nitrided SiO2/4H-SiC(112̄0) interfaces,Takato Nakanuma,Yu Iwakata,Arisa Watanabe,Takuji Hosoi,Takuma Kobayashi,Mitsuru Sometani,Mitsuo Okamoto,Akitaka Yoshigoe,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,{IOP} Publishing,Vol. 61,No. {SC},p. SC1065-1-SC1065-8,2022年05月01日,研究論文(学術雑誌)
  • Fixed-charge generation in SiO2/GaN MOS structures by forming gas annealing and its suppression by controlling Ga-oxide interlayer growth,Hidetoshi Mizobata,Mikito Nozaki,Takuma Kobayashi,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,{IOP} Publishing,Vol. 61,No. SC,p. SC1034-SC1034,2022年05月01日,研究論文(学術雑誌)
  • Impact of nitridation on the reliability of 4H-SiC(112̄0) MOS devices,Takato Nakanuma,Takuma Kobayashi,Takuji Hosoi,Mitsuru Sometani,Mitsuo Okamoto,Akitaka Yoshigoe,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,{IOP} Publishing,Vol. 15,No. 4,p. 041002-1-041002-4,2022年04月01日,研究論文(学術雑誌)
  • Investigation of reliability of NO nitrided SiC(1100) MOS devices,Takato Nakanuma,Asato Suzuki,Yu Iwakata,Takuma Kobayashi,Mitsuru Sometani,Mitsuo Okamoto,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2022 IEEE International Reliability Physics Symposium (IRPS),IEEE,p. 3B.2-1-3B.2-5,2022年03月,研究論文(国際会議プロシーディングス)
  • Characterization of Electron Traps in Gate Oxide of m-plane SiC MOS Capacitors,Yutaka Terao,Takuji Hosoi,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,2022 IEEE International Reliability Physics Symposium (IRPS),IEEE,p. P66-1-P66-4,2022年03月,研究論文(国際会議プロシーディングス)
  • Insight into interface electrical properties of metal–oxide–semiconductor structures fabricated on Mg-implanted GaN activated by ultra-high-pressure annealing,Yuhei Wada,Hidetoshi Mizobata,Mikito Nozaki,Takuma Kobayashi,Takuji Hosoi,Tetsu Kachi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,AIP Publishing,Vol. 120,No. 8,p. 082103-082103,2022年02月21日,研究論文(学術雑誌)
  • High-temperature CO2 treatment for improving electrical characteristics of 4H-SiC(0001) metal-oxide-semiconductor devices,Takuji Hosoi,Momoe Ohsako,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 14,No. 10,p. 101001-1-101001-6,2021年10月01日,研究論文(学術雑誌)
  • Probing the surface potential of SiO2/4H-SiC(0001) by terahertz emission spectroscopy,Hidetoshi Nakanishi,Tatsuhiko Nishimura,Iwao Kawayama,Masayoshi Tonouchi,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Journal of Applied Physics,AIP Publishing,Vol. 130,No. 11,p. 115305-1-115305-7,2021年09月21日,研究論文(学術雑誌)
  • Demonstration of 4H-SiC CMOS circuits consisting of well-balanced n- and p-channel MOSFETs fabricated by ultrahigh-temperature gate oxidation,Kidist Moges,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,{IOP} Publishing,Vol. 14,No. 9,p. 091006-091006,2021年09月01日,研究論文(学術雑誌)
  • Backscattering X-ray imaging using Fresnel zone aperture,Takayoshi Shimura,Takuji Hosoi,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 14,No. 7,p. 072002-072002,2021年07月01日,研究論文(学術雑誌)
  • Inhibition of Mg activation in p-type GaN caused by thin AlGaN capping layer and impact of designing hydrogen desorption pathway,Yuhei Wada,Hidetoshi Mizobata,Mikito Nozaki,Takuji Hosoi,Tetsuo Narita,Tetsu Kachi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,{IOP} Publishing,Vol. 14,No. 7,p. 071001-071001,2021年07月01日,研究論文(学術雑誌)
  • A Pixel Design of a Branching Ultra-Highspeed Image Sensor,Nguyen Hoai Ngo,Kazuhiro Shimonomura,Taeko Ando,Takayoshi Shimura,Heiji Watanabe,Kohsei Takehara,Anh Quang Nguyen,Edoardo Charbon,Takeharu Goji Etoh,Sensors,MDPI AG,Vol. 21,No. 7,p. 2506-2506,2021年04月03日,研究論文(学術雑誌)
  • 水素ガスアニールにより生じるSiO2/GaN界面の異常な固定電荷の起源,溝端 秀聡,和田 悠平,野崎 幹人,細井 卓治,志村 考功,渡部 平司,p. 195-199,2021年01月,研究論文(研究会,シンポジウム資料等)
  • 4H-SiC CMOS inverters fabricated by ultrahigh-temperature gate oxidation and forming gas annealing,Kidist Moges,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2020年12月09日
  • 水素ガスアニールに起因したSiO2/GaN界面での異常な固定電荷生成とその物理的起源,溝端秀聡,和田悠平,野崎幹人,細井卓治,志村考功,渡部平司,2020年12月09日,研究論文(研究会,シンポジウム資料等)
  • Toward the Super Temporal Resolution Image Sensor with a Germanium Photodiode for Visible Light,Nguyen Hoai Ngo,Anh Quang Nguyen,Fabian M. Bufler,Yoshinari Kamakura,Hideki Mutoh,Takayoshi Shimura,Takuji Hosoi,Heiji Watanabe,Philippe Matagne,Kazuhiro Shimonomura,Kohsei Takehara,Edoardo Charbon,Takeharu Goji Etoh,Sensors,Vol. 20,No. 23,p. 6895-6895,2020年12月,研究論文(学術雑誌)
  • Defect engineering in SiC technology for high-voltage power devices,Tsunenobu Kimoto,Heiji Watanabe,Applied Physics Express,{IOP} Publishing,Vol. 13,No. 12,p. 120101-120101,2020年12月01日,研究論文(学術雑誌)
  • 光の飛翔を捉えた超高速イメージセンサと今後の展開,江藤 剛治,下ノ村 和弘,志村 考功,渡部 平司,映像情報メディア学会誌,Vol. 74,No. 6,p. 936-941,2020年11月,研究論文(学術雑誌)
  • Gate Stack Technology for Advanced GaN-based MOS Devices,Heiji Watanabe,Takahiro Yamada,Mikito Nozaki,Takuji Hosoi,Takayoshi Shimura,2020年09月,研究論文(国際会議プロシーディングス)
  • Anomalous interface fixed charge generated by forming gas annealing in SiO2/GaN MOS devices,Hidetoshi Mizobata,Yuhei Wada,Mikito Nozaki,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 13,No. 8,p. 081001-081001,2020年08月01日,研究論文(学術雑誌)
  • Insight into Channel Conduction Mechanisms of 4H-SiC(0001) MOSFET Based on Temperature-Dependent Hall Effect Measurement,Hironori Takeda,Mitsuru Sometani,Takuji Hosoi,Takayoshi Shimura,Hiroshi Yano,Heiji Watanabe,Materials Science Forum,Trans Tech Publications, Ltd.,Vol. 1004,p. 620-626,2020年07月,研究論文(学術雑誌)
  • Evaluation and mitigation of reactive ion etching-induced damage in AlGaN/GaN MOS structures fabricated by low-power inductively coupled plasma,Mikito Nozaki,Daiki Terashima,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,IOP Publishing,Vol. 59,No. SM,p. SMMA07-SMMA07,2020年07月01日,研究論文(学術雑誌)
  • Insight into gate dielectric reliability and stability of SiO2/GaN MOS devices,Yuhei Wada,Mikito Nozaki,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,IOP Publishing,Vol. 59,No. SM,p. SMMA03-SMMA03,2020年07月01日,研究論文(学術雑誌)
  • Electron-spin-resonance and electrically detected-magnetic-resonance characterization on PbC center in various 4H-SiC(0001)/SiO2 interfaces,T. Umeda,Y. Nakano,E. Higa,T. Okuda,T. Kimoto,T. Hosoi,H. Watanabe,M. Sometani,S. Harada,Journal of Applied Physics,AIP Publishing,Vol. 127,No. 14,p. 145301-145301,2020年04月14日,研究論文(学術雑誌)
  • Voids and vacancy-type defects in SiO2/GaN structures probed by monoenergetic positron beams,Akira Uedono,Wataru Ueno,Takahiro Yamada,Takuji Hosoi,Werner Egger,Tönjes Koschine,Christoph Hugenschmidt,Marcel Dickmann,Heiji Watanabe,Journal of Applied Physics,Vol. 127,No. 5,p. 054503-1-054503-8,2020年02月,研究論文(学術雑誌)
  • 液相成長GeSnを用いた横型pinダイオードの高効率室温エレクトロルミネッセンス,和田 裕希,細井 卓治,志村 考功,渡部 平司,p. 65-68,2020年01月,研究論文(研究会,シンポジウム資料等)
  • フラッシュランプアニール法を用いたGOI基板上固相成長GeSn n-MOSFETsの作製,岡 博史,水林 亘,森 貴洋,石川 由紀,細井 卓治,志村 考功,渡部 平司,遠藤 和彦,p. 121-124,2020年01月
  • The Role of Oxygen Ambient Anneal for Ba-incorporated SiO2/SiC Interface,寺尾 豊,辻 英徳,細井 卓治,張 旭芳,矢野 裕司,志村 考功,渡部 平司,p. 137-139,2020年01月
  • Comprehensive and systematic design of metal/high-k gate stack for high-performance and highly reliable SiC power MOSFET,Takuji Hosoi,Shuji Azumo,Yusaku Kashiwagi,Shigetoshi Hosaka,Kenji Yamamoto,Masatoshi Aketa,Hirokazu Asahara,Takashi Nakamura,Tsunenobu Kimoto,Tayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 59,No. 2,p. 021001-1-021001-8,2020年01月,研究論文(学術雑誌)
  • The role of oxygen ambient anneal for Ba-incorporated SiO2/SiC interface,Y. Terao,H. Tsuji,T. Hosoi,X. Zhang,H. Yano,T. Shimura,H. Watanabe,2019年12月,研究論文(国際会議プロシーディングス)
  • Room Temperature Electroluminescence from Tensile-strained GeSn Lateral PIN Structures Fabricated by Nucleation-controlled Liquid-phase Crystallization,Y. Wada,T. Hosoi,T. Shimura,H. Watanabe,2019年12月,研究論文(国際会議プロシーディングス)
  • High-temperature CO2 Process for Improvement of SiC MOS Characteristics,T. Hosoi,M. Ohsako,T. Shimura,H. Watanabe,2019年12月,研究論文(国際会議プロシーディングス)
  • レーザーテラヘルツエミッション顕微鏡を用いたSiC MOS界面の表面ポテンシャル評価,西村辰彦,中西英俊,川山巌,斗内政吉,細井卓治,志村考功,渡部平司,2019年12月
  • SiO2中へのGa拡散がSiO2/GaN MOS特性に与える影響の評価,和田悠平,野崎幹人,細井卓治,志村考功,渡部平司,2019年12月
  • AlGaN/GaNヘテロ構造の低バイアス電力ICPエッチングによる低損傷加工,野崎幹人,寺島大貴,吉越章隆,細井卓治,志村考功,渡部平司,2019年12月
  • CO2アニールによるSiO2/SiC界面窒素量制御とSiC MOSFET信頼性向上,細井卓治,大迫桃恵,伊藤滉二,志村考功,木本恒暢,渡部平司,2019年12月
  • Evaluation of Reactive Ion Etching-induced Damage on 2DEG at AlGaN/GaN Interface,Mikito Nozaki,Daiki Terashima,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2019年11月,研究論文(国際会議プロシーディングス)
  • Thermal Oxidation of SiC: Kinetics and SiO2/SiC Interface Property,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2019年11月,研究論文(国際会議プロシーディングス)
  • Oxidation of SiGe Alloy: Residual Order in SiO2 and Self-limiting Oxidation,Takayoshi Shimura,Takuji Hosoi,Heiji Watanabe,2019年11月,研究論文(国際会議プロシーディングス)
  • Characterization of Surface Potential of Oxidized Silicon Carbide by a Laser Terahertz Emission Microscope,Tatsuhiko Nishimura,Hidetoshi Nakanishi,Iwao Kawayama,Masayoshi Tonouchi,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2019年10月,研究論文(国際会議プロシーディングス)
  • Interface Engineering of SiC MOS Devices by High-temperature CO2 Treatment,Takuji Hosoi,Momoe Ohsako,Takayoshi Shimura,Heiji Watanabe,2019年10月,研究論文(国際会議プロシーディングス)
  • Ideal phonon-scattering-limited mobility in inversion channels of 4H-SiC(0001) MOSFETs with ultralow net doping concentrations,Mitsuru Sometani,Takuji Hosoi,Hirohisa Hirai,Tetsuo Hatakeyama,Shinsuke Harada,Hiroshi Yano,Takayoshi Shimura,Heiji Watanabe,Yoshiyuki Yonezawa,Hajime Okumura,Vol. 115,No. 13,p. 132102-1-132102-5,2019年09月,研究論文(学術雑誌)
  • Gate stack engineering for GaN power MOSFETs,T. Hosoi,M. Nozaki,T. Shimura,H. Watanabe,2019年08月,研究論文(国際会議プロシーディングス)
  • Sub-nm-Scale Depth Profiling of Nitrogen in NO- and N2-Annealed SiO2/4H-SiC(0001) Structures,Kidist Moges,Mitsuru Sometani,Takuji Hosoi,Takayoshi Shimura,Shinsuke Harada,Heiji Watanabe,Materials Science Forum,Vol. 963,p. 226-229,2019年07月,研究論文(学術雑誌)
  • Evaluation of the Impact of Al Atoms on SiO2/SiC Interface Property by Using 4H-SiC n+-Channel Junctionless MOSFET,Hironori Takeda,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Vol. 963,p. 171-174,2019年07月,研究論文(学術雑誌)
  • Recent progress in understanding carbon-related interface defects and electrical properties in SiC-MOS devices,T. Hosoi,K. Moges,T. Shimura,H. Watanabe,2019年07月,研究論文(国際会議プロシーディングス)
  • NO窒化処理を施したSiO2/SiC界面近傍の窒素分布評価,細井卓治,Kidist Moges,染谷 満,志村考功,原田信介,渡部平司,信学技報,Vol. 119,No. 96,p. 1-4,2019年06月,研究論文(学術雑誌)
  • Tensile-strained GeSn-on-SOI MSM Photodetector Fabricated by Solid-phase Epitaxy,H. Oka,W. Mizubayashi,T. Hosoi,T. Shimura,H. Watanabe,T. Maeda,N. Uchida,K. Endo,2019年06月,研究論文(国際会議プロシーディングス)
  • Comparative study on thermal robustness of GaN and AlGaN/GaN MOS devices with thin oxide interlayers,Mikito Nozaki,Daiki Terashima,Takahiro Yamada,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 58,No. SC,p. SCCD08-1-SCCD08-6,2019年05月,研究論文(学術雑誌)
  • Mobility enhancement in recessed-gate AlGaN/GaN MOS-HFETs using an AlON gate insulator,Takuji Hosoi,Kenta Watanabe,Mikito Nozaki,Takahiro Yamada,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 58,No. SC,p. SCCD16-1-SCCD16-6,2019年05月,研究論文(学術雑誌)
  • Performance improvement in 4H-SiC(0001) p-channel metal-oxide-semiconductor field-effect transistors with a gate oxide grown at ultrahigh temperature,Kidist Moges,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,Vol. 12,No. 6,p. 061003-1-061003-4,2019年05月,研究論文(学術雑誌)
  • Controlled oxide interlayer for improving reliability of SiO2/GaN MOS devices,Takahiro Yamada,Daiki Terashima,Mikito Nozaki,Hisashi Yamada,Tokio Takahashi,Mitsuaki Shimizu,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 58,No. SC,p. SCCD06-1-SCCD06-5,2019年04月,研究論文(学術雑誌)
  • Analysis of III–V oxides at high-k/InGaAs interfaces induced by metal electrodes,Shinichi Yoshida,Dennis H L Lin,Rena Suzuki,Yuki Miyanami,Nadine Collaert,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 58,No. 5,p. 051010-1-051010-6,2019年04月,研究論文(学術雑誌)
  • 近赤外イメージセンサーに向けた石英基板上裏面照射型GeSnフォトダイオードアレイの開発,岡 博史,井上 慶太郎,Thi Thuy Nguyen,黒木 伸一郎,細井 卓治,志村 考功,渡部 平司,2019年03月
  • 熱酸化SiO2/SiC界面欠陥とMOS特性,細井卓治,志村 考功,渡部 平司,2019年02月
  • Demonstration of mm long nearly intrinsic GeSn single-crystalline wires on quartz substrate fabricated by nucleation-controlled liquid-phase crystallization,Youki Wada,Keitaro Inoue,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 58,No. SB,p. SBBK01-1-SBBK01-6,2019年02月,研究論文(学術雑誌)
  • AlON絶縁膜へのHf原子添加効果に関する理論的研究,名倉 拓哉,長川 健太,洗平 昌晃,細井 卓治,渡部 平司,押山 淳,白石 賢二,2019年01月
  • 導電型の異なるGaN上GaOx界面層の放射光XPS分析,山田 高寛,寺島 大貴,野崎 幹人,山田 永,高橋 言諸,清水 三聡,吉越 章隆,細井 卓治,志村 考功,渡部 平司,2019年01月
  • Effect of incorporating Hf atoms in AlON gate dielectrics on hole leakage current,T. Nagura,K. Chokawa,M. Araidai,T. Hosoi,H. Watanabe,A. Oshiyama,K. Shiraishi,2018年12月,研究論文(国際会議プロシーディングス)
  • High-mobility P- and N-channel GeSn Thin-film Transistors on Transparent Substrate Fabricated by Nucleation-controlled Liquid-phase Crystallization,T. Hosoi,H. Oka,K. Inoue,Y. Wada,T. Shimura,H. Watanabe,2018年12月,研究論文(国際会議プロシーディングス)
  • Improved reliability of SiO2/GaN MOS devices by controlling the oxide interlayer,Takahiro Yamada,Daiki Terashima,Mikito Nozaki,Hisashi Yamada,Tokio Takahashi,Mitsuaki Shimizu,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2018年11月,研究論文(国際会議プロシーディングス)
  • Comparative study of thermal decomposition of thin Ga oxide layer on GaN and AlGaN surfaces,Mikito Nozaki,Daiki Terashima,Takahiro Yamada,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2018年11月,研究論文(国際会議プロシーディングス)
  • Mobility enhancement in AlGaN/GaN MOS-HFET with gate recess etching by using AION gate insulator,Takuji Hosoi,Kenta Watanabe,Mikito Nozaki,Takahiro Yamada,Takayoshi Shimura,Heiji Watanabe,2018年11月,研究論文(国際会議プロシーディングス)
  • 超低実効pエピ濃度基板を用いて評価した4H-SiC(0001) MOSFETの反転チャネル電子の散乱要因,染谷満,細井卓治,平井悠久,畠山哲夫,原田信介,矢野裕司,志村考功,渡部平司,米澤喜幸,奥村元,2018年11月
  • SiC MOS界面特性改善に向けた高温CO2熱処理の検討,大迫桃恵,細井卓治,志村考功,渡部平司,2018年11月
  • 4H-SiC(0001) MOSFETの可動電子密度の温度依存性に基づくチャネル内電子伝導機構の考察,武田紘典,染谷満,細井卓治,志村考功,矢野裕司,渡部平司,2018年11月
  • 放射光光電子分光法によるGaN及びAlGaN上のGaOx層の熱脱離過程の比較,野崎幹人,寺島大貴,山田高寛,吉越章隆,細井卓治,志村考功,渡部平司,2018年11月
  • NO窒化処理を施したSiO2/SiC界面における窒素原子分布の高精度評価,Kidist Moges,染谷満,細井卓治,志村考功,原田信介,渡部平司,2018年11月
  • GaN導電型がSiO2/GaN構造のGaOx界面層形成に及ぼす影響,山田高寛,寺島大貴,野崎幹人,山田永,高橋言諸,清水三聡,吉越章隆,細井卓治,志村考功,渡部平司,2018年11月
  • Improved channel mobility of 4H-SiC n-MOSFETs by ultrahigh-temperature gate oxidation with low-oxygen partial-pressure cooling,Mitsuru Sometani,Yoshihito Katsu,Daisuke Nagai,Hidenori Tsuji,Takuji Hosoi,Takayoshi Shimura,Yoshiyuki Yonezawa,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 57,No. 12,p. 120304-1-120304-4,2018年10月,研究論文(学術雑誌)
  • Optoelectronic Integration Based on High-quality GeSn Grown by Liquid Phase Crystallization,T. Hosoi,H. Oka,T. Shimura,H. Watanabe,2018年10月,研究論文(国際会議プロシーディングス)
  • Gate Stack Technology for Advanced GaN and SiC based MOS Devices,H. Watanabe,T. Yamada,M. Nozaki,K. Moges,T. Hosoi,T. Shimura,2018年10月,研究論文(国際会議プロシーディングス)
  • Highly n-Type Doped Ge and Gesn Wires Fabricated By Lateral Liquid-Phase Epitaxy,H. Watanabe,T. Tomita,H. Oka,K. Inoue,T. Hosoi,T. Shimura,2018年10月,研究論文(国際会議プロシーディングス)
  • Gate Stack Technology for Advanced GaN-Based Mos Devices,H. Watanabe,T. Yamada,M. Nozaki,T. Hosoi,T. Shimura,2018年10月,研究論文(国際会議プロシーディングス)
  • First-principles calculations of the effect of incorporating Hf atoms in AlON gate dielectrics of wide-bandgap-semiconductor power devices on the hole leakage current,Takuya Nagura,Kenta Chokawa,Masaaki Araidai,Takuji Hosoi,Heiji Watanabe,Atsushi Oshiyama,Kenji Shiraishi,2018年09月,研究論文(国際会議プロシーディングス)
  • Characterization of SiO2/SiC interface using a Laser Terahertz Emission Microscope,Tatsuhiko Nishimura,Hidetoshi Nakanishi,Iwao Kawayama,Masayoshi Tonouchi,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2018年09月,研究論文(国際会議プロシーディングス)
  • Demonstration of mm-long Nearly Intrinsic GeSn Single-crystalline Wires on Quartz Substrate by Nucleation-controlled Liquid-phase Crystallization,Youki Wada,Keitaro Inoue,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2018年09月,研究論文(国際会議プロシーディングス)
  • Electron-spin-resonance characterization on interface carbon defects at 4H-SiC/SiO2 interfaces formed by ultrahigh-temperature oxidation,T. Umeda,T. Hosoi,T. Okuda,T. Kimoto,M. Sometani,S. Harada,H. Watanabe,2018年09月,研究論文(国際会議プロシーディングス)
  • Superiority of pure O2-based gate oxidation on Hall effect mobility of 4H-SiC (0001) MOSFET revealed by low-doped epitaxial wafers,M. Sometani,T. Hosoi,T. Hatakeyama,S. Harada,H. Yano,T. Shimura,H. Watanabe,Y. Yonezawa,H. Okumura,2018年09月,研究論文(国際会議プロシーディングス)
  • Evaluation of the impact of Al atoms on SiO2/SiC interface property by using 4H-SiC n+-channel junctionless MOSFET,H. Takeda,T. Hosoi,T. Shimura,H. Watanabe,2018年09月,研究論文(国際会議プロシーディングス)
  • Sub-nm-scale depth profiling of nitrogen in NO- and N2-annealed SiO2/4H-SiC(0001) structures,K. Moges,M. Sometani,T. Hosoi,T. Shimura,S. Harada,H. Watanabe,2018年09月,研究論文(国際会議プロシーディングス)
  • Sub-nanometer-scale depth profiling of nitrogen atoms in SiO2/4H-SiC structures treated with NO annealing,Kidist Moges,Mitsuru Sometani,Takuji Hosoi,Takayoshi Shimura,Shinsuke Harada,Heiji Watanabe,Applied Physics Express,Vol. 11,No. 10,p. 101303-1-101303-4,2018年09月,研究論文(学術雑誌)
  • Insight into enhanced field-effect mobility of 4H-SiC MOSFET with Ba incorporation studied by Hall effect measurements,Eigo Fujita,Mitsuru Sometani,Tetsuo Hatakeyama,Shinsuke Harada,Hiroshi Yano,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,AIP Advances,Vol. 8,No. 8,p. 085305-1-085305-6,2018年08月,研究論文(学術雑誌)
  • Passive–active oxidation boundary for thermal oxidation of 4H-SiC(0001) surface in O2/Ar gas mixture and its impact on SiO2/SiC interface quality,Takuji Hosoi,Yoshihito Katsu,Kidist Moges,Daisuke Nagai,Mitsuru Sometani,Hidenori Tsuji,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,Vol. 11,No. 9,p. 091301-1-091301-4,2018年08月,研究論文(学術雑誌)
  • Advancement of X-ray radiography using microfocus X-ray source in conjunction with amplitude grating and SOI pixel detector, SOPHIAS,Ryo Hosono,Tomoki Kawabata,Kiyoshi Hayashida,Togo Kudo,Kyosuke Ozaki,Nobukazu Teranishi,Takaki Hatsui,Takuji Hosoi,Heiji Watanabe,Takayoshi Shimura,Optics Express,Vol. 26,No. 16,p. 21044-21053,2018年08月,研究論文(学術雑誌)
  • Dielectric Thin Films for Future Electron Devices: Science and Technology FOREWORD,Watanabe Heiji,Nara Yasuo,Kamakura Yoshinari,JAPANESE JOURNAL OF APPLIED PHYSICS,Vol. 57,No. 6,2018年06月,研究論文(学術雑誌)
  • GaN-based Metal-Insulator-Semiconductor Transistors on Si for Power Switching Applications,Satoshi Nakazawa,Hong-An Shih,Naohiro Tsurumi,Yoshiharu Anda,Tsuguyasu Hatsuda,Tetsuzo Ueda,Mikito Nozaki,Takahiro Yamada,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Tamotsu Hashizume,2018年06月,研究論文(国際会議プロシーディングス)
  • Effect of incorporation of nitrogen atoms in Al2O3 gate dielectric of wide-bandgap-semiconductor MOSFET on gate leakage current and negative fixed charge,Eiji Kojima,Kenta Chokawa,Hiroki Shirakawa,Masaaki Araidai,Takuji Hosoi,Heiji Watanabe,Kenji Shiraishi,APPLIED PHYSICS EXPRESS,IOP PUBLISHING LTD,Vol. 11,No. 6,p. 061501-1-061501-4,2018年06月,研究論文(学術雑誌)
  • Implementation of atomic layer deposition-based AlON gate dielectrics in AlGaN/GaN MOS structure and its physical and electrical properties,Mikito Nozaki,Kenta Watanabe,Takahiro Yamada,Hong-An Shih,Satoshi Nakazawa,Yoshiharu Anda,Tetsuzo Ueda,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Japan Society of Applied Physics,Vol. 57,No. 6,p. 06KA02-1-06KA02-7,2018年06月01日,研究論文(国際会議プロシーディングス)
  • Physical and electrical characterizations of AlGaN/GaN MOS gate stacks with AlGaN surface oxidation treatment,Takahiro Yamada,Kenta Watanabe,Mikito Nozaki,Hong-An Shih,Satoshi Nakazawa,Yoshiharu Anda,Tetsuzo Ueda,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Japan Society of Applied Physics,Vol. 57,No. 6,p. 06KA07-1-06KA07-6,2018年06月01日,研究論文(国際会議プロシーディングス)
  • 高性能GaN MOSFET実現に向けたSiO2/GaN界面制御,細井卓治,山田高寛,野崎幹人,高橋言諸,山田 永,清水三聡,吉越章隆,志村考功,渡部平司,2018年06月
  • SiO2/AlON stacked gate dielectrics for AlGaN/GaN MOS heterojunction field-effect transistors,Kenta Watanabe,Daiki Terashima,Mikito Nozaki,Takahiro Yamada,Satoshi Nakazawa,Masahiro Ishida,Yoshiharu Anda,Tetsuzo Ueda,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Japan Society of Applied Physics,Vol. 57,No. 6,p. 06KA03-1-06KA03-6,2018年06月01日,研究論文(国際会議プロシーディングス)
  • Annealing behavior of open spaces in AlON films studied by monoenergetic positron beams,Akira Uedono,Takahiro Yamada,Takuji Hosoi,Werner Egger,Tönjes Koschine,Christoph Hugenschmidt,Marcel Dickmann,Heiji Watanabe,Applied Physics Letters,American Institute of Physics Inc.,Vol. 112,No. 18,2018年04月30日,研究論文(学術雑誌)
  • AlON/AlGaN/GaN MIS-HFETによる高速スイッチング動作,中澤敏志,施泓安,鶴見直大,按田義治,初田次康,上田哲三,野﨑幹人,山田高寛,細井卓治,志村考功,渡部平司,橋詰保,2018年03月
  • 裏面照射型近赤外イメージセンサーに向けた基板上単結晶GeSnフォトダイオードアレイの開発,岡博史,井上慶太郎,Thi Thuy Nguyen,黒木伸一郎,細井卓治,志村考功,渡部平司,2018年03月
  • High-mobility TFT and enhanced luminescence utilizing ucleation-controlled GeSn growth on transparent substrate for monolithic optoelectronic,H. Oka,M. Koyama,T. Tomita,T. Amamoto,K. Tominaga,S. Tanaka,T. Hosoi,T. Shimura,H. Watanabe,2018年01月,研究論文(国際会議プロシーディングス)
  • Improvement of SiO2/4H-SiC(0001) interface properties by H2 and Ar mixture gas treatment prior to SiO2 deposition,Hidenori Tsuji,Takuji Hosoi,Yutaka Terao,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 924,p. 461-464,2018年,研究論文(国際会議プロシーディングス)
  • High-mobility TFT and enhanced luminescence utilizing ucleation-controlled GeSn growth on transparent substrate for monolithic optoelectronic,H. Oka,M. Koyama,T. Tomita,T. Amamoto,K. Tominaga,S. Tanaka,T. Hosoi,T. Shimura,H. Watanabe,2018年01月
  • Si基板上MIS型GaNパワーデバイスの開発,施 泓安,中澤 敏志,鶴見 直大,按田 義治,初田 次康,上田 哲三,野﨑 幹人,山田 高寛,細井 卓治,志村 考功,渡部 平司,橋詰 保,p. 87-90,2018年01月
  • 横方向液相エピタキシャル成長により作製した引張歪み高濃度n型Ge細線の低温発光特性と共振器の形成,冨田 崇史,岡 博史,井上 慶太郎,細井 卓治,志村 考功,渡部 平司,p. 9-12,2018年01月
  • 石英基板上単結晶GeSn層形成と光電子デバイス応用,細井 卓治,岡 博史,井上 慶太郎,志村 考功,渡部 平司,p. 151-154,2018年01月
  • Control of Ga-oxide interlayer growth and Ga diffusion in SiO2/GaN stacks for high-quality GaN-based metal–oxide–semiconductor devices with improved gate dielectric reliability,Takahiro Yamada,Kenta Watanabe,Mikito Nozaki,Hisashi Yamada,Tokio Takahashi,Mitsuaki Shimizu,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,Vol. 11,No. 1,p. 015701-1-015701-4,2018年01月01日,研究論文(学術雑誌)
  • Lightly doped n-type tensile-strained single-crystalline GeSn-on-insulator structures formed by lateral liquid-phase crystallization,Hiroshi Oka,Takashi Tomita,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,Japan Society of Applied Physics,Vol. 11,No. 1,p. 011304-1-011304-4,2018年01月01日,研究論文(学術雑誌)
  • Improvements of Grating-based X-ray Phase Contrast Imaging with a Microfocus X-ray Source by a SOI Pixel Detector, SOPHIAS,R. Hosono,D. Tsukamoto,T. Kawabata,K. Hayashida,T. Kudo,K. Ozaki,T. Hatsui,N. Teranishi,T. Hosoi,H. Watanabe,T. Shimura,2017年12月,研究論文(国際会議プロシーディングス)
  • AlGaN/GaN MOS-HFET with high-quality and robust N-incorporated aluminum oxide (AlON) gate insulator,K. Watanabe,M. Nozaki,T. Yamada,S. Nakazawa,M. Ishida,Y. Anda,T. Ueda,A. Yoshigoe,T. Hosoi,T. Shimura,H. Watanabe,2017年12月,研究論文(国際会議プロシーディングス)
  • Improved Channel Mobility of 4H-SiC N-MOSFETs by Ultrahigh-Temperature Oxidation with Low-Oxygen Partial-Pressure Cooling Procedure,M. Sometani,Y. Katsu,D. Nagai,H. Tsuji,T. Hosoi,T. Shimura,Y. Yonezawa,H. Watanabe,2017年12月,研究論文(国際会議プロシーディングス)
  • 4H-SiC(0001) N- and P-channel MOSFETs with Pure SiO2 Gate Dielectrics Formed under Extreme Oxidation Conditions,T. Hosoi,Y. Katsu,K. Moges,H. Tsuji,M. Sometani,T. Shimura,H. Watanabe,2017年12月,研究論文(国際会議プロシーディングス)
  • Fast Switching Performance by 20 A / 730 V AlGaN/GaN MIS-HFET Using AlON Gate Insulator,S. Nakazawa,H.-A. Shih,N. Tsurumi,Y. Anda,T. Hatsuda,T. Ueda,M. Nozaki,T. Yamada,T. Hosoi,T. Shimura,H. Watanabe,T. Hashizume,2017年12月,研究論文(国際会議プロシーディングス)
  • Back-side Illuminated GeSn Photodiode Array on Quartz Substrate Fabricated by Laser-induced Liquid-phase Crystallization for Monolithically-integrated NIR Imager Chip,H. Oka,K. Inoue,T. T. Nguyen,S. Kuroki,T. Hosoi,T. Shimura,H. Watanabe,2017年12月,研究論文(国際会議プロシーディングス)
  • SiO2/AlON Stacked Gate Dielectrics for AlGaN/GaN MOS-HFET,K. Watanabe,D. Terashima,M. Nozaki,T. Yamada,S. Nakazawa,M. Ishida,Y. Anda,T. Ueda,A. Yoshigoe,T. Hosoi,T. Shimura,H. Watanabe,2017年11月,研究論文(国際会議プロシーディングス)
  • AlON Gate Dielectrics Formed by Repeating ALD-based Thin AlN Deposition and In situ Oxidation for AlGaN/GaN MOS-HFETs,M. Nozaki,K. Watanabe,T. Yamada,H. Shih,S. Nakazawa,Y. Anda,T. Ueda,A. Yoshigoe,T. Hosoi,T. Shimura,H. Watanabe,2017年11月,研究論文(国際会議プロシーディングス)
  • Physical and Electrical Characterization of AlGaN/GaN MOS Gate Stacks with AlGaN Surface Oxidation Treatment,T. Yamada,K. Watanabe,M. Nozaki,H. Shih,S. Nakazawa,Y. Anda,T. Ueda,A. Yoshigoe,T. Hosoi,T. Shimura,H. Watanabe,2017年11月,研究論文(国際会議プロシーディングス)
  • レーザーテラヘルツエミッション顕微鏡を用いた 4H-SiC ウエハ/熱酸化膜の特性評価,西村辰彦,中西英俊,川山巌,斗内政吉,細井卓治,志村考功,渡部平司,2017年11月
  • 紫外光照射とアニール処理による SiC MOS キャパシタの電気特性改善,大迫 桃恵,細井 卓治,志村 考功,渡部 平司,2017年11月
  • プラズマ CVD 成膜した SiO2/AlGaN 界面特性の成膜電力依存性と堆積後熱処理の検討,寺島 大貴,渡邉 健太,山田 高寛,野﨑 幹人,施 泓安,中澤 敏志,按田 義治,上田 哲三,吉越 章隆,細井 卓治,志村 考功,渡部 平司,2017年11月
  • ジャンクションレス 4H-SiC(0001) MOSFET を用いた高濃度 n+層の電子移動度評価,武田 紘典,細井 卓治,志村 考功,渡部 平司,2017年11月
  • Impact of ultrahigh-temperature gate oxidation and hydrogen annealing on the performance of 4H-SiC(0001) p-channel MOSFETs,Kidist Moges,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2017年11月
  • AlGaN/GaN MOS デバイス向け ALD-AlON ゲート絶縁膜に対する窒素添加効果,野崎 幹人,渡邉 健太,山田 高寛,施 泓安,中澤 敏志,按田 義治,上田 哲三,吉越 章隆,細井 卓治,志村 考功,渡部 平司,2017年11月
  • ICP エッチング表面のプラズマ酸化処理による SiO2/GaN 界面欠陥の低減,山田 高寛,渡邉 健太,野崎 幹人,高橋 言諸,山田 永,清水 三聡,施 泓安,中澤 敏志,按田 義治,上田 哲三,吉越 章隆,細井 卓治,志村 考功,渡部 平司,2017年11月
  • High Mobility In0.53Ga0.47As MOSFETs with Steep Sub-Threshold Slope Achieved by Remote Reduction of Native III-V Oxides with Metal Electrodes,S. Yoshida,H. C. Lin,A. Vais,A. Alian,J. Franco,S. El Kazzi,Y. Mols,Y. Miyanami,M. Nakazawa,N. Collaert,H. Watanabe,A. Thean,IEEE Journal of the Electron Devices Society,Institute of Electrical and Electronics Engineers Inc.,Vol. 5,No. 6,p. 480-484,2017年11月01日,研究論文(学術雑誌)
  • Reactivity of Water Vapor with Ultrathin GeO2/Ge and SiO2/Si Structures Investigated by Near-Ambient-Pressure X-ray Photoelectron Spectroscopy,Kenta Arima,Takuji Hosoi,Heiji Watanabe,Ethan J Crumlin,ECS Transactions,The Electrochemical Society,Vol. 80,No. 2,p. 131-140,2017年09月,研究論文(国際会議プロシーディングス)
  • マイクロフォーカスX線源と振幅格子を用いた多波長X線位相イメージング-SOI ピクセル検出器による高度化-,細野凌,塚本大裕,川端智樹,林田 清,工藤統吾,尾崎恭介,初井宇記,寺西信一,細井卓治,渡部平司,志村考功,2017年09月
  • La埋め込みターゲットを用いたTalbot-Lau干渉計によるX線位相イメージング,塚本大裕,山崎周,細野凌,細井卓治,渡部平司,志村考功,2017年09月
  • Interface Property of SiO2/4H-SiC(0001) Structures Formed by Ultrahigh-Temperature Oxidation under Low Oxygen Partial Pressure,T. Hosoi,Y. Katsu,D. Nagai,H. Tsuji,M. Sometani,T. Shimura,H. Watanabe,2017年09月,研究論文(国際会議プロシーディングス)
  • Significant Performance Improvement in 4HSiC(0001) P-Channel MOSFETs with Gate Oxides Grown at Ultrahigh-Temperature,Kidist Moges,T. Hosoi,T. Shimura,H. Watanabe,2017年09月,研究論文(国際会議プロシーディングス)
  • Improvement of SiO2/4H-SiC(0001) Interface Properties by H2 and Ar Mixture Gas Treatment Prior to SiO2 Deposition,H. Tsuji,T. Hosoi,Y. Terao,T. Shimura,H. Watanabe,2017年09月,研究論文(国際会議プロシーディングス)
  • Enhancement-mode n-channel TFT and room-temperature near-infrared emission based on n+/p junction in single-crystalline GeSn on transparent substrate,H. Oka,M. Koyama,T. Hosoi,T. Shimura,H. Watanabe,Digest of Technical Papers - Symposium on VLSI Technology,Institute of Electrical and Electronics Engineers Inc.,p. T58-T59,2017年07月31日,研究論文(国際会議プロシーディングス)
  • Design and control of interface reaction between Al-based dielectrics and AlGaN layer in AlGaN/GaN metal-oxide-semiconductor structures,Kenta Watanabe,Mikito Nozaki,Takahiro Yamada,Satoshi Nakazawa,Yoshiharu Anda,Masahiro Ishida,Tetsuzo Ueda,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,American Institute of Physics Inc.,Vol. 111,No. 4,2017年07月24日,研究論文(学術雑誌)
  • MOS Interface Engineering for Advanced SiC and GaN Power Devices,T. Hosoi,T. Shimura,H. Watanabe,2017年07月,研究論文(国際会議プロシーディングス)
  • Improved interface properties of GaN-based metal-oxide-semiconductor devices with thin Ga-oxide interlayers,Takahiro Yamada,Joyo Ito,Ryohei Asahara,Kenta Watanabe,Mikito Nozaki,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,American Institute of Physics Inc.,Vol. 110,No. 26,2017年06月26日,研究論文(学術雑誌)
  • Structure and Surface Morphology of Thermal SiO2 Grown on 4H-SiC by Metal-Enhanced Oxidation Using Barium,Atthawut Chanthaphan,Yoshihito Katsu,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications, Switzerland,2017年05月,研究論文(学術雑誌)
  • Ultrahigh Temperature Oxidation of 4H-SiC(0001) and an Impact of Cooling Process on SiO2/SiC Interface Properties,Takuji Hosoi,Daisuke Nagai,Mitsuru Sometani,Takayoshi Shimura,Manabu Takei,Heiji Watanabe,Trans Tech Publications,Switzerland,2017年05月,研究論文(学術雑誌)
  • Impact of rapid cooling process in ultrahigh-temperature oxidation of 4H-SiC(0001),Mitsuru Sometani,Daisuke Nagai,Yoshihito Katsu,Takuji Hosoi,Takayoshi Shimura,Manabu Takei,Yoshiyuki Yonezawa,Heiji Watanabe,Japanese Journal of Applied Physics,Japan Society of Applied Physics,Vol. 56,No. 4,2017年04月01日,研究論文(国際会議プロシーディングス)
  • High-mobility TFT and enhanced luminescence utilizing nucleation-controlled GeSn growth on transparent substrate for monolithic optoelectronic integration,H. Oka,M. Koyama,T. Tomita,T. Amamoto,K. Tominaga,S. Tanaka,T. Hosoi,T. Shimura,H. Watanabe,Technical Digest - International Electron Devices Meeting, IEDM,Institute of Electrical and Electronics Engineers Inc.,p. 22.1.1-22.1.4,2017年01月31日,研究論文(国際会議プロシーディングス)
  • Comprehensive study on initial thermal oxidation of GaN(0001) surface and subsequent oxide growth in dry oxygen ambient,Takahiro Yamada,Joyo Ito,Ryohei Asahara,Kenta Watanabe,Mikito Nozaki,Satoshi Nakazawa,Yoshiharu Anda,Masahiro Ishida,Tetsuzo Ueda,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Journal of Applied Physics,American Institute of Physics Inc.,Vol. 121,No. 3,2017年01月21日,研究論文(学術雑誌)
  • Fabrication of tensile-strained single-crystalline GeSn on transparent substrate by nucleation-controlled liquid-phase crystallization,Hiroshi Oka,Takashi Amamoto,Masahiro Koyama,Yasuhiko Imai,Shigeru Kimura,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,American Institute of Physics Inc.,Vol. 110,No. 3,2017年01月16日,研究論文(学術雑誌)
  • Design and control of interface reaction between Al-based dielectrics and AlGaN layer for hysteresis-free AlGaN/GaN MOS-HFETs,K. Watanabe,M. Nozaki,T. Yamada,S. Nakazawa,Y. Anda,M. Isliida,T. Ueda,A. Yoshigoe,T. Hosoi,T. Shimura,H. Watanabe,Proceedings of the International Symposium on Power Semiconductor Devices and ICs,Institute of Electrical and Electronics Engineers Inc.,p. 219-222,2017年,研究論文(国際会議プロシーディングス)
  • Reliability-aware design of metal/high-k gate stack for high-performance SiC power MOSFET,Takuji Hosoi,Shuji Azumo,Yusaku Kashiwagi,Shigetoshi Hosaka,Kenji Yamamoto,Masatoshi Aketa,Hirokazu Asahara,Takashi Nakamura,Tsunenobu Kimoto,Takayoshi Shimura,Heiji Watanabe,Proceedings of the International Symposium on Power Semiconductor Devices and ICs,Institute of Electrical and Electronics Engineers Inc.,p. 247-250,2017年,研究論文(国際会議プロシーディングス)
  • Insight into metal-enhanced oxidation using barium on 4H-SiC surfaces,Atthawut Chanthaphan,Yoshihito Katsu,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Japan Society of Applied Physics,Vol. 55,No. 12,2016年12月01日,研究論文(国際会議プロシーディングス)
  • 超高温酸化と水冷プロセスによるSiC-MOS 界面の特性改善,染谷 満,永井 大介,勝 義仁,細井 卓治,志村 考功,武井 学,米澤 喜幸,渡部 平司,2016年11月
  • AlGaN/GaN MOS-HFET におけるAl 系ゲート絶縁膜の界面反応制御,渡邉 健太,野崎 幹人,山田 高寛,中澤 敏志,按田 義治,石田 昌宏,上田 哲三,吉越 章隆,細井 卓治,志村 考功,渡部 平司,2016年11月
  • 超高温・低酸素分圧ゲート酸化で作製したSiC-MOSFET の電気特性評価,勝 義仁,辻 英徳,細井 卓治,志村 考功,渡部 平司,2016年11月
  • SiO2/GaN 構造の熱酸化処理による極薄GaOx 界面層形成とMOS 界面特性向上,山田 高寛,渡邉 健太,野崎 幹人,吉越 章隆,細井 卓治,志村 考功,渡部 平司,2016年11月
  • SiO2/SiC 界面窒化処理を施したSiC-MOS デバイスにおける正孔捕獲挙動に関する考察,細井 卓治,勝 義仁,Atthawut Chanthaphan,志村 考功,渡部 平司,2016年11月
  • 先進パワーデバイスにおける新規ゲート絶縁膜開発-SiC 及びGaN 基板上MOS 構造形成技術の類似点と相違点-,渡部 平司,志村 考功,細井 卓治,2016年11月
  • Ultrahigh-temperature rapid thermal oxidation of 4H-SiC(0001) surfaces and oxidation temperature dependence of SiO2/SiC interface properties,Takuji Hosoi,Daisuke Nagai,Mitsuru Sometani,Yoshihito Katsu,Hironori Takeda,Takayoshi Shimura,Manabu Takei,Heiji Watanabe,Applied Physics Letters,American Institute of Physics Inc.,Vol. 109,No. 18,2016年10月31日,研究論文(学術雑誌)
  • Systematic study of interfacial reactions induced by metal electrodes in high- k /InGaAs gate stacks,S. Yoshida,D. Lin,A. Vais,A. Alian,J. Franco,S. El Kazzi,Y. Mols,Y. Miyanami,M. Nakazawa,N. Collaert,H. Watanabe,A. Thean,Applied Physics Letters,American Institute of Physics Inc.,Vol. 109,No. 17,2016年10月24日,研究論文(学術雑誌)
  • Synchrotron radiation X-ray photoelectron spectroscopy of Ti/Al ohmic contacts to n-type GaN: Key role of Al capping layers in interface scavenging reactions,Mikito Nozaki,Joyo Ito,Ryohei Asahara,Satoshi Nakazawa,Masahiro Ishida,Tetsuzo Ueda,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,Japan Society of Applied Physics,Vol. 9,No. 10,2016年10月01日,研究論文(学術雑誌)
  • Effect of nitrogen incorporation into Al-based gate insulators in AlON/AlGaN/GaN metal–oxide–semiconductor structures,R. Asahara,M. Nozaki,T. Yamada,J. Ito,S. Nakazawa,M. shida,T. Ueda,A. Yoshigoe,T. Hosoi,T. Shimura,H. Watanabe,Applied Physics Express,Vol. 9,No. 10,2016年10月01日,研究論文(学術雑誌)
  • Comparative study of GeO2/Ge and SiO2/Si structures on anomalous charging of oxide films upon water adsorption revealed by ambient-pressure X-ray photoelectron spectroscopy,Daichi Mori,Hiroshi Oka,Takuji Hosoi,Kentaro Kawai,Mizuho Morita,Ethan J. Crumlin,Zhi Liu,Heiji Watanabe,Kenta Arima,Journal of Applied Physics,American Institute of Physics Inc.,Vol. 120,No. 9,p. 095306 1-095306 10,2016年09月07日,研究論文(学術雑誌)
  • Structure and Surface Morphology of Thermal SiO2 Grown on 4H-SiC by Metal-enhanced Oxidation using Barium,A. Chanthaphan,Y. Katsu,T. Hosoi,T. Shimura,H. Watanabe,2016年09月,研究論文(国際会議プロシーディングス)
  • Ultrahigh-tempearture Oxidation of 4H-SiC(0001) and an Impact of Cooling Process on SiO2/SiC Interface Properties,T. Hosoi,D. Nagai,M. Sometani,T. Shimura,M. Takei,H. Watanabe,2016年09月,研究論文(国際会議プロシーディングス)
  • Impact of Rapid Cooling Process in Ultra-high-temperature Oxidation of 4H-SiC(0001),M. Sometani,D. Nagai,T. Hosoi,T. Shimura,Y. Yonezawa,M. Takei,H. Watanabe,2016 International Conference on Solid State Devices and Materials (SSDM 2011),2016年09月,研究論文(国際会議プロシーディングス)
  • Gate Stack Technology for Advanced AlGaN/GaN Mos-Hemt Power Devices,H. Watanabe,R. Asahara,J. Ito,K. Watanabe,M. Nozaki,T. Yamada,S. Nakazawa,Y. Anda,M. Ishida,T. Ueda,A. Yoshigoe,T. Hosoi,T. Shimura,2016年09月
  • SiO2/SiC界面への異種元素添加による界面準位低減とその留意点,細井 卓治,A. Chanthaphan,勝 義仁,志村 孝功,渡部 平司,2016年08月
  • 7.2 High-mobility GeSn p-MOSFETs on Transparent Substrate Utilizing Nucleation-controlled Liquid-phase Crystallization,H. Oka,T. Amamoto,T. Hosoi,T. Shimura,H. Watanabe,2016年06月,研究論文(国際会議プロシーディングス)
  • High-mobility GeSn-based MOSFETs on Transparent Substrates,H. Watanabe,2016年06月,研究論文(国際会議プロシーディングス)
  • Origin of the unidentified positive mobile ions causing the bias temperature instability in SiC MOSFETs and their diffusion process,Hiroki Shirakawa,Katsumasa Kamiya,Masaaki Araidai,Heiji Watanabe,Kenji Shiraishi,APPLIED PHYSICS EXPRESS,IOP PUBLISHING LTD,Vol. 9,No. 6,2016年06月,研究論文(学術雑誌)
  • The impact of energy barrier height on border traps in the metal insulator semicondoctor gate stacks on III–V semiconductors,S. Yoshida,S. Taniguchi,H. Minari,D. Lin,T. Ivanov,H. Watanabe,M. Nakazawa,N. Collaert,A. Thean,Japanese Journal of Applied Physics,Institute of Physics,Vol. 55,No. 8,2016年05月,研究論文(学術雑誌)
  • 水分子が吸着した極薄GeO2/Geの構造と電気的性質のAP-XPS観察,有馬 健太,森 大地,岡 博史,細井 卓治,川合 健太郎,森田 瑞穂,渡部 平司,Liu Zhi,Crumlin Ethan J.,表面科学学術講演会要旨集,公益社団法人 日本表面科学会,Vol. 36,p. 405-405,2016年
  • Biotemplates and their application to electronic devices,Yukiharu Uraoka,Mutsunori Uenuma,Yasuaki Ishikawa,Shinya Kumagai,Satoshi Tomita,Heiji Watanabe,Ichiro Yamashita,Intelligent Nanosystems for Energy, Information and Biological Technologies,Springer Japan,p. 119-143,2016年01月01日,論文集(書籍)内論文
  • Impact of NO annealing on flatband voltage instability due to charge trapping in SiC MOS devices,Yoshihito Katsu,Takuji Hosoi,Yuichiro Nanen,Tsunenobu Kimoto,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 858,p. 599-602,2016年,研究論文(国際会議プロシーディングス)
  • Cathodoluminescence study of SiO2/4H-SiC structures treated with high-temperature post-oxidation annealing,Atthawut Chanthaphan,Yuta Fukushima,Kenji Yamamoto,Masatoshi Aketa,Hirokazu Asahara,Takashi Nakamura,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 858,p. 445-448,2016年,研究論文(国際会議プロシーディングス)
  • Improvement of SiO2/4H-SiC interface quality by post-oxidation annealing in N2 at high-temperatures,Atthawut Chanthaphan,Yen Hung Cheng,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 858,p. 627-630,2016年,研究論文(国際会議プロシーディングス)
  • Flatband voltage shift depending on SiO2/SiC interface charges in 4H-SiC MOS capacitors with ALON/SiO2 stacked gate dielectrics,Takuji Hosoi,Shuji Azumo,Kenji Yamamoto,Masatoshi Aketa,Yusaku Kashiwagi,Shigetoshi Hosaka,Hirokazu Asahara,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 858,p. 681-684,2016年,研究論文(国際会議プロシーディングス)
  • トップダウン加工によるGeへの引張歪み印加とバンドギャップ変調,田中 章吾,岡 博史,天本 隆史,冨永 幸平,小山 真広,細井 卓治,志村 考功,渡部 平司,2016年01月
  • GaN熱酸化における酸化物形成過程,伊藤 丈予,淺原 亮平,野崎 幹人,山田 高寛,中澤 敏志,石田 昌宏,上田 哲三,パナソニック,吉越 章隆,細井 卓治,志村 考功,渡部 平司,2016年01月
  • 横方向液相エピタキシャル成長法によって作製したGeSn-on-insulatorの電気特性評価,冨永 幸平,岡 博史,天本 隆史,細井 卓治,志村 考功,渡部 平司,2016年01月
  • 局所急速加熱による自己核形成を利用した石英基板上GeSn単結晶成長,岡 博史,天本 隆史,小山 真広,冨永 幸平,細井 卓治,志村 考功,渡部 平司,2016年01月
  • Schottky source/drain germanium-based metal-oxide-semiconductor field-effect transistors with self-aligned NiGe/Ge junction and aggressively scaled high- k gate stack,Takuji Hosoi,Yuya Minoura,Ryohei Asahara,Hiroshi Oka,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,American Institute of Physics Inc.,Vol. 107,No. 25,p. 252104-1-252104-5,2015年12月21日,研究論文(学術雑誌)
  • Insights into thermal diffusion of germanium and oxygen atoms in HfO2/GeO2/Ge gate stacks and their suppressed reaction with atomically thin AlOx interlayers,Shingo Ogawa,Ryohei Asahara,Yuya Minoura,Hideki Sako,Naohiko Kawasaki,Ichiko Yamada,Takashi Miyamoto,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Journal of Applied Physics,American Institute of Physics Inc.,Vol. 118,No. 23,p. 23704-1-23704-5,2015年12月21日,研究論文(学術雑誌)
  • Engineering of NiGe/Ge Junction by P Ion Implantation after Germanidation for Metal S/D Ge CMOS Technology,H. Oka,Y. Minoura,R. Asahara,T. Hosoi,T. Shimura,H. Watanabe,2015年12月
  • Effect of Nitrogen Incorporation into Al-based Gate Insulator in AlGaN/GaN MOS-HEMT,R. Asahara,M. Nozaki,T. Yamada,J. Ito,S. Nakazawa,M. Ishida,T. Ueda,A. Yoshigoe,T. Hosoi,T. Shimura,H. Watanabe,2015年12月,研究論文(国際会議プロシーディングス)
  • SiO2/SiC Interface Nitridation by High Temperature Pure Nitrogen Annealing,T. Hosoi,A. Chanthaphan,T. Shimura,H. Watanabe,2015年12月,研究論文(国際会議プロシーディングス)
  • Enhancement of photoluminescence from n-type tensile-strained GeSn wires on an insulator fabricated by lateral liquid-phase epitaxy,Takayoshi Shimura,Masahiro Matsue,Kohei Tominaga,Keiko Kajimura,Takashi Amamoto,Takuji Hosoi,Heiji Watanabe,Applied Physics Letters,American Institute of Physics Inc.,Vol. 107,No. 22,2015年11月30日,研究論文(学術雑誌)
  • Design and demonstration of phase gratings for 2D single grating interferometer,Naoki Morimoto,Sho Fujino,Yasuhiro Ito,Amane Yamazaki,Issei Sano,Takuji Hosoi,Heiji Watanabe,Takayoshi Shimura,Optics Express,OSA - The Optical Society,Vol. 23,No. 23,p. 29399-29412,2015年11月16日,研究論文(学術雑誌)
  • The Impact of Energy Barrier Height on Border Traps in III-V Gate Stacks,S. Yoshida,S. Taniguchi,H. Minari,D. Lin,Ts. Ivanov,H. Watanabe,M. Nakazawa,N. Collaert,A. Thean,2015年11月,研究論文(国際会議プロシーディングス)
  • Investigation of Initial Oxide Growth on GaN Epitaxial Films,T. Yamada,J. Ito,R. Asahara,M. Nozaki,S. Nakazawa,M. Ishida,T. Ueda,A. Yoshigoe,T. Hosoi,T. Shimura,H. Watanabe,2015年11月,研究論文(国際会議プロシーディングス)
  • Theoretical study on the identity of positive mobile ions in SiC-MOSFET and their diffusion process,H. Shirakawa,M. Araidai,K. Kamiya,H. Watanabe,K. Shiraishi,2015年10月,研究論文(国際会議プロシーディングス)
  • Improvement of SiO2/4H-SiC interface quality by post-oxidation annealing in N2 at high-temperatures,A. Chanthaphan,T. Hosoi,T. Shimura,H. Watanabe,2015年10月,研究論文(国際会議プロシーディングス)
  • Cathodoluminescence study of SiO2/4H-SiC structures treated with high-temperature post-oxidation annealing,A. Chanthaphan,Y. Fukushima,K. Yamamoto,M. Aketa,H. Asahara,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,2015年10月,研究論文(国際会議プロシーディングス)
  • Impact of NO annealing on flatband voltage instability due to charge trapping in SiC MOS devices,Y. Katsu,T. Hosoi,Y. Nanen,T. Kimoto,T. Shimura,H. Watanabe,2015年10月,研究論文(国際会議プロシーディングス)
  • Origin of Anomalous Positive Charging of Water-adsorbed Thin GeO2 Films Studied by Ambient-pressure XPS,K. Arima,D. Mori,Y. Saito,H. Oka,K. Kawai,T. Hosoi,M. Morita,H. Watanabe,Z. Liu,Program and Exhibition of 16th European Conference on Application of Surface and Interface Analysis,p. 254-254,2015年10月
  • Flatband voltage shift depending on SiO2/SiC interface charges in 4H-SiC MOS capacitors with AlON/SiO2 stacked gate dielectrics,T. Hosoi,S. Azumo,K. Yamamoto,M. Aketa,Y. Kashiwagi,S. Hosaka,H. Asahara,T. Nakamura,T. Shimura,H. Watanabe,2015年09月,研究論文(国際会議プロシーディングス)
  • X-ray Talbot-Lau interferometer using lanthanum targets embedded in diamond substrates,A. Yamazaki,N. Morimoto,S. Fujino,Y. Ito,I. Sano,T. Hosoi,H. Watanabe,T. Shimura,2015年09月,研究論文(国際会議プロシーディングス)
  • 2D x-ray single grating interferometry with embedded metal targets,N. Morimoto,S. Fujino,Y. Ito,A. Yamazaki,I. Sano,T. Hosoi,H. Watanabe,T. Shimura,2015年09月,研究論文(国際会議プロシーディングス)
  • Development of single transmission grating Talbot-Lau interferometer with embedded tungsten targets for 30 keV x rays,Y. Ito,N. Morimoto,S. Fujino,A. Yamazaki,I. Sano,T. Hosoi,H. Watanabe,T. Shimura,2015年09月,研究論文(国際会議プロシーディングス)
  • Study of SiO2/4H-SiC interface nitridation by post-oxidation annealing in pure nitrogen gas,Atthawut Chanthaphan,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,AIP Advances,American Institute of Physics Inc.,Vol. 5,No. 9,2015年09月01日,研究論文(学術雑誌)
  • Synchrotron Radiation X-Ray Photoelectron Spectroscopy Study of Interface Reactions in Al/Ti/GaN Ohmic Contacts,M. Nozaki,J. Ito,R. Asahara,S. Nakazawa,M. Ishida,T. Ueda,A. Yoshigoe,Y. Teraoka,T. Hosoi,T. Shimura,H. Watanabe,2015年09月,研究論文(国際会議プロシーディングス)
  • Exact evaluation of interface-reaction-limited growth in dry and wet thermal oxidation of 4H-SiC(0001) Si-face surfaces,Takuji Hosoi,Daisuke Nagai,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Japan Society of Applied Physics,Vol. 54,No. 9,2015年09月01日,研究論文(学術雑誌)
  • SiCパワーデバイスの高性能化に向けた酸化膜/SiC界面の評価と制御,渡部 平司,2015年08月
  • SiC-MOS 構造の物理分析と電気特性評価に基づいた界面欠陥の理解,渡部 平司,先進パワー半導体分科会 第1回個別討論会テキスト,2015年08月
  • 第一原理計算によるSic界面欠陥発生メカニズム,白石 賢二,長川 健太,白川 裕規,洗平 昌晃,神谷 克政,渡部 平司,先進パワー半導体分科会 第1回講演会,2015年08月
  • SiC/SiO2界面の計算科学による考察,白石 賢二,長川 健太,白川 裕規,洗平 昌晃,神谷 克政,渡部 平司,2015年07月
  • ゲルマニウム半導体を基盤とした次世代光電子集積デバイスへの展開 (依頼講演),渡部 平司,日本真空学会関西支部&日本表面科学会関西支部合同セミナー2015テキスト,2015年07月
  • Cathodoluminescence study of radiative interface defects in thermally grown SiO2/4H-SiC(0001) structures,Yuta Fukushima,Atthawut Chanthaphan,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,American Institute of Physics Inc.,Vol. 106,No. 26,2015年06月29日,研究論文(学術雑誌)
  • Cathodoluminescence study of radiative interface defects in thermally grown SiO2/4H-SiC(0001) structures,Yuta Fukushima,Atthawut Chanthaphan,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,American Institute of Physics Inc.,Vol. 106,No. 26,2015年06月29日,研究論文(学術雑誌)
  • Two dimensional x-ray phase imaging Using single grating interferometer with embedded xray targets,Naoki Morimoto,Sho Fujino,Amane Yamazaki,Yasuhiro Ito,Takuji Hosoi,Heiji Watanabe,Takayoshi Shimura,Optics Express,OSA - The Optical Society,Vol. 23,No. 13,p. 16582-16588,2015年06月29日,研究論文(学術雑誌)
  • Comprehensive study and design of scaled metal/high- k /Ge gate stacks with ultrathin aluminum oxide interlayers,Ryohei Asahara,Iori Hideshima,Hiroshi Oka,Yuya Minoura,Shingo Ogawa,Akitaka Yoshigoe,Yuden Teraoka,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,American Institute of Physics Inc.,Vol. 106,No. 23,2015年06月08日,研究論文(学術雑誌)
  • Schottky barrier height modulation at NiGe/Ge interface by phosphorous ion implantation and its application to Ge-based CMOS devices,T. Hosoi,H. Oka,Y. Minoura,T. Shimura,H. Watanabe,The 15th International Workshop on Junction Technology (IWJT2015),2015年06月,研究論文(国際会議プロシーディングス)
  • 表面界面科学を基軸としたSiCパワーデバイス開発,渡部 平司,細井 卓治,JAEA放射光科学シンポジウム2015,2015年03月
  • Fabrication of high-quality Ge-on-insulator structures by lateral liquid phase epitaxy,T. Shimura,Y. Suzuki,M. Matsue,K. Kajimura,K. Tominaga,T. Amamoto,T. Hosoi,H. Watanabe,ECS Transactions,Electrochemical Society Inc.,Vol. 69,No. 5,p. 305-311,2015年,研究論文(国際会議プロシーディングス)
  • Improving interface quality of 4H-SiC MOS devices with high temperature oxidation process in mass produce furnace,Heng Yu Xu,Qian Yang,Xiao Lei Wang,Xin Yu Liu,Yan Li Zhao,Cheng Zhan Li,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 821-823,p. 484-487,2015年,研究論文(国際会議プロシーディングス)
  • 横方向液相エピタキシャル成長によって作製した絶縁体上GeSnワイヤのフォトルミネッセンス測定によるバンドギャップ変調技術,天本 隆史,冨永 幸平,梶村 恵子,松江 将博,細井 卓治,志村 孝功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第20回研究会),2015年01月
  • SiC-MOSFETにおけるSiO2絶縁膜中のプロトン拡散についての第一原理計算による検討,白川 裕規,洗平 昌晃,神谷 克政,渡部 平司,白石 賢二,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第20回研究会),2015年01月
  • Understanding of Bias-Temperature Instability due to Mobile Ions in SiC Metal-Oxide-Semiconductor Devices,A. Chanthaphan,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第20回研究会),2015年01月
  • First Principles Study of SiC/SiO2 Interfaces towards Future Power Devices,K. Shiraishi,K. Chokawa,H. Shirakawa,K. Endo,M. Araidai,K. Kamiya,H. Watanabe,2014 IEEE International Electron Devices Meeting (IEDM),2014年12月,研究論文(国際会議プロシーディングス)
  • Fabrication of GeSn-on-insulator Structure by Utilizing Lateral Liquid-Phase Epitaxy,T. Hosoi,K. Kajimura,K. Tominaga,T. Shimura,H. Watanabe,Abstracts, 45th IEEE Semiconductor Interface Specialists Conference,2014年12月,研究論文(国際会議プロシーディングス)
  • Engineering of NiGe/Ge Junction by P Ion Implantation after Germanidation for Metal S/D Ge CMOS Technology,H. Oka,Y. Minoura,R. Asahara,T. Hosoi,T. Shimura,H. Watanabe,Abstracts, 45th IEEE Semiconductor Interface Specialists Conference,2014年12月,研究論文(国際会議プロシーディングス)
  • 高温熱酸化による4H-SiC MOSキャパシタの電気特性改善,鄭 彦宏,Chanthaphan Atthawut,許 恒宇,楊 謙,劉 新宇,趙 艷黎,李 誠譫,細井 卓治,志村 考功,渡部 平司,先進パワー半導体分科会 第1回講演会予稿集,2014年11月
  • カソードルミネッセンス法による熱酸化SiO2/SiC界面欠陥の検出,福島 悠太,アラン フルカン,樋口 直樹,チャンタパン アタウット,細井 卓治,志村 考功,渡部 平司,先進パワー半導体分科会 第1回講演会予稿集,2014年11月
  • 4H-SiC(0001)面の熱酸化における酸化種と酸化速度の関係,永井 大介,福島 悠太,勝 義仁,細井 卓治,志村 考功,渡部 平司,先進パワー半導体分科会 第1回講演会予稿集,2014年11月
  • Mobility characterization of Ge-on-insulator metal-oxide-semiconductor field-effect transistors with striped Ge channels fabricated by lateral liquid-phase epitaxy,Takuji Hosoi,Yuichiro Suzuki,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,American Institute of Physics Inc.,Vol. 105,No. 17,p. 173502-1-173502-4,2014年10月27日,研究論文(学術雑誌)
  • Synchrotron radiation photoemission spectroscopy study of SiO2/4H-SiC(0001) interfaces with NO annealing,T. Hosoi,Y. Nanen,T. Kimoto,A. Yoshigoe,Y. Teraoka,T. Shimura,H. Watanabe,10th European Conference on Silicon Carbide & Related Materials (ECSCRM-2014),2014年09月,研究論文(国際会議プロシーディングス)
  • Theoretical studies of carbon related defect generation in SiO2/4H-SiC(0001) interface induced by oxidation,K. Endo,K. Chokawa,H. Shirakawa,M. Araidai,K. Kamiya,T. Hosoi,H. Watanabe,K. Shiraishi,10th European Conference on Silicon Carbide & Related Materials (ECSCRM-2014),2014年09月,研究論文(国際会議プロシーディングス)
  • Survey approach for improving interface quality of 4H-SiC MOS devices with high temperature oxidation process in mass produce furnace,H. Xu,Q. Yang,X. Liu,Y. Zhao,C. Li,H. Watanabe,10th European Conference on Silicon Carbide & Related Materials (ECSCRM-2014),2014年09月,研究論文(国際会議プロシーディングス)
  • Understanding and engineering of NiGe/Ge junction formed by phosphorous ion implantation after germanidation,Hiroshi Oka,Yuya Minoura,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,American Institute of Physics Inc.,Vol. 105,No. 6,p. 062107-1-062107-4,2014年08月11日,研究論文(学術雑誌)
  • X-ray Phase Contrast Imaging with a Single Grating Talbot-Lau Interferometer,N. Morimoto,S. Fujino,K. Ohshima,J. Harada,T. Hosoi,H. Watanabe,T. Shimura,International Union of Materials Research Societies- The 15th IUMRS International Conference in Asia 2014(IUMRS-ICA 2014),2014年08月,研究論文(国際会議プロシーディングス)
  • X-ray phase contrast imaging by compact Talbot–Lau interferometer with a single transmission grating,N. Morimoto,S. Fujino,K. Ohshima,J. Harada,T. Hosoi,H. Watanabe,T. Shimura,Optics Letters,Vol. 39,No. 15,p. 4297-4300,2014年07月,研究論文(学術雑誌)
  • 極薄EOT high-k/Geゲートスタックの熱安定性及び界面特性改善に向けたプロセス設計,淺原 亮平,細井 卓治,志村 考功,渡部 平司,電子情報通信学会 シリコン材料・デバイス(SDM)研究会, 信学技報,Vol. 114,No. 88,p. 1-5,2014年06月
  • Schottky Barrier Height Reduction of NiGe/Ge Junction by P Ion Implantation for Metal Source/Drain Ge CMOS Devices,H. Oka,Y. Minoura,T. Hosoi,T. Shimura,H. Watanabe,The 2014 International Meeting for Future of Electron Devices, Kansai,2014年06月,研究論文(国際会議プロシーディングス)
  • Sub-1-nm EOT Schottky Source/Drain Germanium CMOS Technology with Low-temperature Self-aligned NiGe/Ge Junctions,T. Hosoi,Y. Minoura,R. Asahara,H. Oka,T. Shimura,H. Watanabe,2014 IEEE Silicon Nanoelectronics Workshop (SNW),2014年06月,研究論文(国際会議プロシーディングス)
  • Improved bias-temperature instability characteristics in SiC metal-oxide-semiconductor devices with aluminum oxynitride dielectrics,Atthawut Chanthaphan,Takuji Hosoi,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,American Institute of Physics Inc.,Vol. 104,No. 12,p. 122105-1-122105-5,2014年03月24日,研究論文(学術雑誌)
  • Controlled charged amino acids of Ti-binding peptide forsurfactant-free selective adsorption,M. Fukuta,B. Zheng,M. Uenumab,N. Okamoto,Y. Uraoka,I. Yamashita,H. Watanabe,Colloids and Surfaces B: Biointerfaces,2014年03月,研究論文(学術雑誌)
  • Development of Multiline Embedded X-ray Targets for Compact Talbot-Lau X-ray Interferometer,N. Morimoto,S. Fujino,K. Ohshima,J. Harada,T. Hosoi,H. Watanabe,T. Shimura,Program & Abstracts of International Workshop on Atomically Controlled Fabrication Technology,2014年02月
  • Bias-temperature instability of SiC-MOS devices induced by unusual generation of mobile ions in thermal oxides,A. Chanthaphan,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Program & Abstracts of International Workshop on Atomically Controlled Fabrication Technology,2014年02月
  • Strain-induced direct band gap shrinkage in local Ge-on-insulator structures fabricated by lateral liquid-phase epitaxy,Masahiro Matsue,Yuhsuke Yasutake,Susumu Fukatsu,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,Vol. 104,No. 3,p. 031106-1-031106-4,2014年01月20日,研究論文(学術雑誌)
  • Insights into ultraviolet-induced electrical degradation of thermally grown SiO2/4H-SiC(0001) interface,Daisuke Ikeguchi,Takuji Hosoi,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,Vol. 104,No. 1,p. 012107-1-012107-4,2014年01月06日,研究論文(学術雑誌)
  • Ambient-pressure XPS study of GeO2/Ge(100) and SiO2/Si(100) at controlled relative humidity,K. Arima,Y. Kawai,Y. Minoura,Y. Saito,D. Mori,H. Oka,K. Kawai,T. Hosoi,Z. Liu,H. Watanabe,M. Morita,ECS Transactions,Electrochemical Society Inc.,Vol. 64,No. 8,p. 77-82,2014年,研究論文(国際会議プロシーディングス)
  • Phosphorous ion implantation into NiGe layer for Ohmic contact formation on n-type Ge,Yuya Minoura,Hiroshi Oka,Takuji Hosoi,Jin Matsugaki,Shin-Ichiro Kuroki,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Japan Society of Applied Physics,Vol. 53,No. 8,2014年,研究論文(国際会議プロシーディングス)
  • Retarded oxide growth on 4H-SiC(0001) substrates due to sacrificial oxidation,Takuji Hosoi,Yusuke Uenishi,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 778-780,p. 562-565,2014年,研究論文(国際会議プロシーディングス)
  • Degradation of SiO2/SiC interface properties due to mobile ions intrinsically generated by high-temperature hydrogen annealing,Atthawut Chanthaphan,Takuji Hosoi,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 778-780,p. 541-544,2014年,研究論文(国際会議プロシーディングス)
  • X-ray phase contrast imaging by compact Talbot-Lau interferometer without absorption grating,N. Morimoto,S. Fujino,K. Ohshima,J. Harada,T. Hosoi,H. Watanabe,T. Shimura,International Workshop on X-ray and Neutron Phase Imaging with Gratings (XNPIG2014),2014年01月,研究論文(国際会議プロシーディングス)
  • Design of compact Talbot-Lau interferometer with embedded X-ray targets disregarding Talbot distance,S. Fujino,N. Morimoto,K. Ohshima,J. Harada,T. Hosoi,H. Watanabe,T. Shimura,International Workshop on X-ray and Neutron Phase Imaging with Gratings (XNPIG2014),2014年01月,研究論文(国際会議プロシーディングス)
  • 横方向液相エピタキシャル成長によって作製したGeワイヤのフォトルミネッセンス測定によるバンドギャップ変調評価,梶村 恵子,松江 将博,安武 裕輔,深津 晋,細井 卓治,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第19回研究会),2014年01月
  • NiGe/Ge接合へのP添加によるn型Ge基板上オーミックコンタクトの形成,岡 博史,箕浦 佑也,細井 卓治,松垣 仁,黒木 伸一郎,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第19回研究会),2014年01月
  • 極限EOT実現に向けた極薄AlOx層によるHigh-k/Ge ゲートスタック界面制御,田中 亮平,秀島 伊織,箕浦 佑也,吉越 章隆,寺岡 有殿,細井 卓治,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第19回研究会),2014年01月
  • 湿度制御雰囲気下でのin-situ XPSを用いたGeO2/GeとSiO2/Siの吸湿性比較,有馬 健太,河合 佳枝,箕浦 佑也,齋藤 雄介,森 大地,川合 健太郎,細井 卓治,森田 瑞穂,渡部 平司,Zhi Liu,Extended Abstracts of the 19th Workshop on Gate Stack Technology and Physics,The Japan Society of Applied Physics,2014年01月
  • 表面界面解析に基づいた次世代SiCパワーMOSデバイスの開発 (依頼講演),渡部 平司,平成25年度 日本真空学会 12月研究例会予稿集,2013年12月
  • HfO2絶縁膜を用いたSiC-MOS界面設計,樋口 直樹,福島 悠太,細井 卓治,志村 考功,渡部 平司,SiC及び関連半導体研究 第22回講演会予稿集,2013年12月
  • AlON/SiO2積層ゲート絶縁膜によるSiC MOSデバイスのBTI特性改善,Chanthaphan Atthawut,中野 佑紀,中村 孝,細井 卓治,志村 考功,渡部 平司,SiC及び関連半導体研究 第22回講演会予稿集,2013年12月
  • Enhanced direct bandgap photoluminescence from local Ge-on-insulator structures fabricated by lateral liquid-phase epitaxy –Material and strain engineering toward CMOS compatible group-Ⅳ photonics-,M. Matsue,Y. Yasutake,S. Fukatsu,T. Hosoi,T. Shimura,H. Watanabe,Abstracts, 44th IEEE Semiconductor Interface Specialists Conference,2013年12月,研究論文(国際会議プロシーディングス)
  • High-k/Ge Gate Stack with an EOT of 0.56 nm by Controlling Interface Reaction Using Ultrathin AlOx Interlayer,T. Hosoi,I. Hideshima,R. Tanaka,Y. Minoura,A. Yoshigoe,Y. Teraoka,T. Shimura,H. Watanabe,Abstracts, 44th IEEE Semiconductor Interface Specialists Conference,2013年12月,研究論文(国際会議プロシーディングス)
  • Electrical and physical properties of SiO2 gate dielectrics grown on 4H-SiC (Invited),T. Hosoi,Y. Uenishi,A. Chanthaphan,D. Ikeguchi,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,The 8th international conference on advanced materials upon the proven concept and continues the tradition of its seven predecessors (THERMEC2013),2013年12月,研究論文(国際会議プロシーディングス)
  • Effective Hole Mobility of GOI MOSFET Fabricated by Lateral Liquid-Phase Epitaxiay,T. Hosoi,Y. Suzuki,H. Nishikawa,M. Matsue,T. Shimura,H. Watanabe,Extended Abstracts of 2013 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF2013),2013年11月,研究論文(国際会議プロシーディングス)
  • Phosphorous Ion Implantation into NiGe Layer for Ohmic Contact Formation on n-Ge,Y. Minoura,T. Hosoi,J. Matsugaki,S. Kuroki,T. Shimura,H. Watanabe,Extended Abstracts of 2013 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF2013),2013年11月,研究論文(国際会議プロシーディングス)
  • Interaction of Water Vapor with GeO2/Ge(100) Revealed by In Situ XPS under Controlled Relative Humidity,K. Arima,A. Mura,I. Hideshima,T. Hosoi,H. Watanabe,Z. Liu,Abstracts of 15th European Conference on Applications of Surface and Interface Analysis,2013年10月
  • Retarded Oxide Growth on 4H-SiC(0001) Substrates Due to Sacrificial Oxidation,T. Hosoi,Y. Uenishi,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,International Conference on Silicon Carbide and Related Materials 2013 (ICSCRM2013),2013年10月,研究論文(国際会議プロシーディングス)
  • Degradation of SiO2/SiC Interface Properties due to Mobile Ions Intrinsically Generated by High-Temperature Hydrogen Annealing,A. Chanthaphan,T. Hosoi,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,International Conference on Silicon Carbide and Related Materials 2013 (ICSCRM2013),2013年10月,研究論文(国際会議プロシーディングス)
  • Suppression of Mobile Ion Diffusion with AlON/SiO2 Stacked Gate Dielectrics for Improving Bias-Temperature Instability in SiC-MOS Devices,A. Chanthaphan,T. Hosoi,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,International Conference on Silicon Carbide and Related Materials 2013 (ICSCRM2013),2013年10月,研究論文(国際会議プロシーディングス)
  • Insight of Selective Adsorption Mechanism of Titanium-binding Peptide,M. Fukuta,B. Zheng,M. Uenuma,I. Yamashita,Y. Uraoka,H. Watanabe,2013 JSAP-MRS Joint Symposia,2013年09月,研究論文(国際会議プロシーディングス)
  • Design and control of Ge-based metal-oxide-semiconductor interfaces for high-mobility field-effect transistors with ultrathin oxynitride gate dielectrics,Yuya Minoura,Atsushi Kasuya,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,Vol. 103,No. 3,2013年07月15日,研究論文(学術雑誌)
  • 山元 隆志, 小川 慎吾, 細井 卓治, 志村 考巧, 渡部 平司,メタルゲート/High-k ゲート絶縁膜の界面構造解析,第77回半導体・集積回路技術シンポジウム,2013年07月
  • Nanoscale Interaction of Water with Germanium Surfaces: Wetting, Etching and Machining properties,Kenta Arima,Kentaro Kawai,Takuji Hosoi,Junichi Uchikoshi,Zhi Liu,Heiji Watanabe,Mizuho Morita,Program and Abstract of Collaborative Conference on 3D & Materials Research (CC3DMR) 2013,2013年06月
  • Implementation of High-k Gate Dielectrics in Silicon Carbide Power MOS Devices (Invited),H. Watanabe,2013 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2013),2013年06月,研究論文(国際会議プロシーディングス)
  • 熱酸化SiC-MOS界面物性の理解と制御 (Invited),渡部 平司,日本結晶成長学会ナノ構造・エピタキシャル 成長分科会主催SiC結晶成長講演会,2013年06月
  • SiCパワーMOSFET向け高誘電率ゲート絶縁膜技術 (依頼講演),細井 卓治,東雲 秀司,柏木 勇作,保坂 重敏,中村 亮太,中野 佑紀,浅原 浩和,中村 孝,木本 恒暢,志村 考功,渡部 平司,電子情報通信学会 シリコン材料・デバイス(SDM)研究会(応用物理学会、シリコンテクノロジー分科会との合同開催),2013年06月
  • Metal/High-k/Geゲートスタックにおけるジャーマナイド形成とその電気特性への影響,細井 卓治,秀島 伊織,箕浦 佑也,田中 亮平,吉越 章隆,寺岡 有殿,志村 考功,渡部 平司,電子情報通信学会 シリコン材料・デバイス(SDM)研究会(応用物理学会、シリコンテクノロジー分科会との合同開催),2013年06月
  • 熱酸化SiC-MOSデバイス中の可動イオンの異常生成と特性改善技術 (依頼講演),渡部 平司,チャンタパン アタウット,中野 佑紀,中村 孝,細井 卓治,志村 考功,電子情報通信学会 シリコン材料・デバイス(SDM)研究会(応用物理学会、シリコンテクノロジー分科会との合同開催),2013年06月
  • Understanding and controlling bias-temperature instability in SiC metal-oxide-semiconductor devices induced by unusual generation of mobile ions,Atthawut Chanthaphan,Takuji Hosoi,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,Vol. 102,No. 9,2013年03月04日,研究論文(学術雑誌)
  • Electrical detection of surface plasmon resonance phenomena by a photoelectronic device integrated with gold nanoparticle plasmon antenna,Tatsuya Hashimoto,Yurie Fukunishi,Bin Zheng,Yukiharu Uraoka,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,Vol. 102,No. 8,2013年02月25日,研究論文(学術雑誌)
  • The adsorption mechanism of titanium-binding ferritin to amphoteric oxide.,Megumi Fukuta,Nobuyuki Zettsu,Ichiro Yamashita,Yukiharu Uraoka,Heiji Watanabe,Colloids and surfaces. B, Biointerfaces,Vol. 102,p. 435-40,2013年02月01日,研究論文(学術雑誌)
  • Hard x-ray phase contrast imaging using a tabletop Talbot-Lau interferometer with multiline embedded x-ray targets,Takayoshi Shimura,Naoki Morimoto,Sho Fujino,Takaharu Nagatomi,Keni-Chi Oshima,Jimpei Harada,Kazuhiko Omote,Naohisa Osaka,Takuji Hosoi,Heiji Watanabe,Optics Letters,Vol. 38,No. 2,p. 157-159,2013年01月15日,研究論文(学術雑誌)
  • Water growth on GeO2/Ge(100) stack and its effect on the electronic properties of GeO2,Atsushi Mura,Iori Hideshima,Zhi Liu,Takuji Hosoi,Heiji Watanabe,Kenta Arima,Journal of Physical Chemistry C,ACS publications,Vol. 117,No. 1,p. 165-171,2013年01月10日,研究論文(学術雑誌)
  • Crystallization of amorphous Ge thin film using Cu nanoparticle synthesized and delivered by ferritin,Mutsunori Uenuma,Bin Zheng,Kosuke Bundo,Masahiro Horita,Yasuaki Ishikawa,Heiji Watanabe,Ichiro Yamashita,Yukiharu Uraoka,Journal of Crystal Growth,Vol. 382,p. 31-35,2013年,研究論文(学術雑誌)
  • Ge diffusion and bonding state change in metal/high-k/Ge gate stacks and its impact on electrical properties,Takuji Hosoi,Iori Hideshima,Ryohei Tanaka,Yuya Minoura,Akitaka Yoshigoe,Yuden Teraoka,Takayoshi Shimura,Heiji Watanabe,Microelectronic Engineering,Vol. 109,p. 137-141,2013年,研究論文(学術雑誌)
  • Ge diffusion and bonding state change in metal/high-k/Ge gate stacks and its impact on electrical properties,Takuji Hosoi,Iori Hideshima,Ryohei Tanaka,Yuya Minoura,Akitaka Yoshigoe,Yuden Teraoka,Takayoshi Shimura,Heiji Watanabe,Microelectronic Engineering,Vol. 109,p. 137-141,2013年,研究論文(学術雑誌)
  • Dielectric properties of thermally grown SiO2 on 4H-SiC(0001) substrates,Takuji Hosoi,Yusuke Uenishi,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Vol. 740-742,p. 605-608,2013年,研究論文(国際会議プロシーディングス)
  • Novel approach for improving interface quality of 4H-SiC MOS devices with UV irradiation and subsequent thermal annealing,Heiji Watanabe,Daisuke Ikeguchi,Takashi Kirino,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takuji Hosoi,Takayoshi Shimura,Materials Science Forum,Vol. 740-742,p. 741-744,2013年,研究論文(国際会議プロシーディングス)
  • AlONゲート絶縁膜導入によるSiCパワーMOSFETの高性能化及び信頼性向上,細井 卓治,東雲 秀司,柏木 勇作,保坂 重敏,中村 亮太,箕谷 周平,中野 佑紀,浅原 浩和,中村 孝,木本 恒暢,志村 考功,渡部 平司,電子情報通信学会 シリコン材料・デバイス研究会(SDM),2013年01月
  • Effect of Water Adsorption on GeO2/Ge Structures Studied by In-situ XPS under Controlled Relative Humidity,Kenta Arima,Atsushi Mura,Iori Hideshima,Takuji Hosoi,Heiji Watanabe,Zhi Liu,Extended Abstracts of the 18th Workshop on Gate Stack Technology and Physics,2013年01月
  • 横方向液相エピタキシャル成長により作製したGOI MOSFETのキャリア移動度評価,松江 将博,鈴木 雄一朗,西川 弘晃,細井 卓治,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第18回研究会),2013年01月
  • Interface Engineering between Metal Electrode and GeO2 Dielectric for Future Ge-Based Metal-Oxide-Semiconductor Technologies,S. Ogawa,I. Hideshima,Y. Minoura,T. Yamamoto,A. Yasui,H. Miyata,K. Kimura,T. Hosoi,T. Shimura,H. Watanabe,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第18回研究会),2013年01月
  • SiC熱酸化膜特有の可動イオン生成とその除去,Atthawut Chanthaphan,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第18回研究会),2013年01月
  • 高移動度Ge CMOSの実現に向けたGeON/Geゲートスタックのプロセス設計,箕浦 佑也,糟谷 篤志,細井 卓治,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第18回研究会),2013年01月
  • Effect of Water Growth on Quality of GeO2/Ge Revealed by in-situ XPS,Kenta Arima,Atsushi Mura,Iori Hideshima,Takuji Hosoi,Heiji Watanabe,Zhi Liu,Symposium Program of SSNS'13,2013年01月
  • The adsorption mechanism of titanium-binding ferritin to amphoteric oxide,M. Fukuta,N. Zettsu,I. Yamashita,Y. Uraoka,H. Watanabe,Colloid and Surfaces B: Biointerfaces,2013年01月,研究論文(学術雑誌)
  • Fundamental Aspects of Silicon Carbide Oxidation,H. Watanabe,T. Hosoi,Physics and Technology of Silicon Carbide Devices, ISBN 978-953-51-0917-4,2012年12月
  • Evaluation of Carrier Mobility Characteristics of Ge-on-Insulator MOSFET Formed by Lateral Liquid-Phase Epitaxy,M. Matsue,Y. Suzuki,H. Nishikawa,T. Hosoi,T. Shimura,H. Watanabe,8th Handai Nanoscience and nanotechnology International Symposium,2012年12月
  • Improvement of Ultrathin GeON/Ge Interface Properties for High-mobility Ge MOSFETs,I. Hideshima,Y. Minoura,A. Kasuya,T. Hosoi,T. Shimura,H. Watanabe,8th Handai Nanoscience and nanotechnology International Symposium,2012年12月
  • Mobile Ions Generated in Thermal SiO2 on SiC by Hydrogen Passivation and Its Impact on Interface Property,T. Hosoi,A. Chanthaphan,S. Mitani,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,The 43rd IEEE Semiconductor Interface Specialists Conference,2012年12月,研究論文(国際会議プロシーディングス)
  • Implementation of GeON Gate Dielectrics for Dual-Channel Ge CMOS Technology,Y. Minoura,A. Kasuya,T. Hosoi,T. Shimura,H. Watanabe,The 43rd IEEE Semiconductor Interface Specialists Conference,2012年12月,研究論文(国際会議プロシーディングス)
  • Al-inserted TiN Gate Electrodes with Low-Pressure Oxidation for Effective Work Function Control of Gate-First Poly-Si/TiN/HfSiO Stacks,K. Chikaraishi,T. Minami,N. Kitano,T. Seino,N. Yamaguchi,T. Nakagawa,T. Hosoi,T. Shimura,H. Watanabe,The 43rd IEEE Semiconductor Interface Specialists Conference,2012年12月,研究論文(国際会議プロシーディングス)
  • Relationship between interface property and energy band alignment of thermally grown SiO2 on 4H-SiC(0001),Takuji Hosoi,Takashi Kirino,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Current Applied Physics,Vol. 12,No. 3,p. S79-S82,2012年12月,研究論文(学術雑誌)
  • Synchrotron X-ray topography of supercritical-thickness strained silicon-on-insulator wafers for crystalline quality evaluation and electrical characterization using back-gate transistors,T. Shimura,D. Shimokawa,T. Matsumiya,N. Morimoto,A. Ogura,S. Iida,T. Hosoi,H. Watanabe,Current Applied Physics,Vol. 12,No. 3,p. S69-S74,2012年12月,研究論文(学術雑誌)
  • Effective work function control of metal inserted poly-Si electrodes on HfSiO dielectrics by in-situ oxygen treatment of metal surface,Naomu Kitano,Keisuke Chikaraishi,Hiroaki Arimura,Takuji Hosoi,Takayoshi Shimura,Takashi Nakagawa,Heiji Watanabe,Current Applied Physics,Vol. 12,No. 3,p. S83-S86,2012年12月,研究論文(学術雑誌)
  • Al2O3/GeO2 stacked gate dielectrics formed by post-deposition oxidation of ultrathin metal Al layer directly grown on Ge substrates,Iori Hideshima,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Current Applied Physics,Vol. 12,No. 3,p. S75-S78,2012年12月,研究論文(学術雑誌)
  • Gate stack technology for advanced high-mobility Ge-channel metal-oxide-semiconductor devices - Fundamental aspects of germanium oxides and application of plasma nitridation technique for fabrication of scalable oxynitride dielectrics,Heiji Watanabe,Katsuhiro Kutsuki,Atsushi Kasuya,Iori Hideshima,Gaku Okamoto,Shoichiro Saito,Tomoya Ono,Takuji Hosoi,Takayoshi Shimura,Current Applied Physics,Vol. 12,No. 3,p. S10-S19,2012年12月,研究論文(学術雑誌)
  • High-mobility p-channel metal-oxide-semiconductor field-effect transistors on Ge-on-insulator structures formed by lateral liquid-phase epitaxy,Yuichiro Suzuki,Shimpei Ogiwara,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,Vol. 101,No. 20,2012年11月12日,研究論文(学術雑誌)
  • Interface engineering between metal electrode and GeO2 dielectric for future Ge-based metal-oxide-semiconductor technologies,Shingo Ogawa,Iori Hideshima,Yuya Minoura,Takashi Yamamoto,Asami Yasui,Hiroaki Miyata,Kosuke Kimura,Toshihiko Ito,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,Vol. 101,No. 20,2012年11月12日,研究論文(学術雑誌)
  • Fabrication of High-quality SiGe-on-Insulator and Ge-on-Insulator Structures by Rapid Melt Growth (Invited),T. Shimura,C. Yoshimoto,T. Hashimoto,S. Ogiwara,Y. Suzuki,T. Hosoi,H. Watanabe,The International Symposium on Visualization in Joining & Welding Science through Advanced Measurements and Simulation (Visual-JW2012),2012年11月,研究論文(国際会議プロシーディングス)
  • Fabrication of Ge-on-insulator structure by lateral liquid-phase epitaxy and its electrical characterization using back-gate transistors,T. Shimura,Y. Suzuki,S. Ogiwara,T. Hosoi,H. Watanabe,The 6th International Symposium on Advanced Science and Technology of Silicon Materials,2012年11月,研究論文(国際会議プロシーディングス)
  • SiC熱酸化膜の比誘電率に関する考察,細井 卓治,上西 悠介,箕谷 周平,中野 佑紀,中村 孝,志村 考功,渡部 平司,SiC及び関連ワイドギャップ半導体研究会第21回講演会予稿集,2012年11月
  • 熱酸化SiO2/SiC構造における紫外線誘起欠陥生成機構の検討,池口 大輔,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村 考功,渡部 平司,SiC及び関連ワイドギャップ半導体研究会第21回講演会予稿集,2012年11月
  • バイアス温度ストレス印加を利用した4H-SiC熱酸化膜中の可動イオン除去,Atthawut Chanthaphan,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村考功,渡部 平司,SiC及び関連ワイドギャップ半導体研究会第21回講演会予稿集,2012年11月
  • 熱酸化SiO2/SiC 界面原子構造と界面電気特性の評価,渡部平司,細井卓治,表面科学,2012年11月
  • High-k ゲートスタック技術の進展と最新動向,渡部平司,細井卓治,電子情報通信学会誌,2012年11月
  • Germanium Nitride Interface Layer for High-k/Ge Gate Stacks,T. Hosoi,G. Okamoto,K. Kutsuki,I. Hideshima,A. Yoshigoe,Y. Teraoka,T. Shimura,H. Watanabe,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月
  • Evaluation of Carrier Mobility in Local GOI Structures Formed by Lateral Liquid-Phase Epitaxy,Y. Suzuki,S. Ogiwara,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月
  • Application of Multiline Embedded X-ray Targets to X-ray Talbot-Lau Interferometer,N. Morimoto,S. Fujino,T. Nagatomi,K. Ohshima,J. Harada,K. Omote,N. Osaka,T. Hosoi,H. Watanabe,T. Shimura,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月
  • High-quality Fully Relaxed SiGe Layers Fabricated on Silicon-on-Insulator Wafers by Rapid Melt Growth,T. Shimura,S. Ogiwara,C. Yoshimoto,T. Hosoi,H. Watanabe,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月
  • Improvement of Thermal SiO2/4H-SiC Interface by UV Irradiation and Subsequent High Temperature Annealing,D. Ikeguchi,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月
  • Interface Engineering between Metal Electrode and GeO2 Dielectric for Future Ge-based Metal-Oxide-Semiconductor Technologies,S. Ogawa,I. Hideshima,Y. Minoura,T. Yamamoto,A. Yasui,H. Miyata,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月
  • Process Optimization of GeON/Ge Gate Stacks for High-mobility Ge-based CMOS Devices,Y. Minoura,A. Kasuya,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月
  • Advanced Poly-Si/TiN Gate Electrode for Gate-first Metal/high-k PMOSFET,K. Chikaraishi,T. Minami,N. Kitano,T. Seino,N. Yamaguchi,T. Nakagawa,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月
  • Gate Stack Technology for Next-Generation Green Electronics,H. Watanabe,T. Shimura,T. Hosoi,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月
  • Elimination of Mobile Ions in Thermal Oxide of SiC MOS Devices,A. Chanthaphan,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月
  • Fabrication and Evaluation of Photoelectronic Devices Integrated with Gold Nanoparticle Plasmon Antenna,T. Hashimoto,Y. Fukunishi,Z. Bin,Y. Uraoka,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月
  • Fabrication of high-quality GOI and SGOI structures by rapid melt growth method - Novel platform for high-mobility transistors and photonic devices - (Invited),H. Watanabe,Y. Suzuki,S. Ogiwara,N. Kataoka,T. Hashimoto,T. Hosoi,T. Shimura,Pacific Rim Meeting on Electrochemical and Solid-state Science (PRiMe 2012),2012年10月,研究論文(国際会議プロシーディングス)
  • SiCパワーデバイス開発と評価技術 -高性能 SiC-MOSFET 実現に向けた熱酸化膜形成過程と MOS界面特性の理解-,渡部 平司,応用物理学会 薄膜・表面物理分科会 News Letter -半導体SiCの基礎と応用-,2012年09月
  • Characterization of multicrystalline Si in solar modules by synchrotron white x-ray microbeam diffraction,T. Shimura,T. Matsumiya,N. Morimoto,S. Fujino,T. Hosoi,K. Kajiwara,J. Chen,T. Sekiguchi,H. Watanabe,Abstracts of 11th Biennial Conference on High Resolution X-Ray Diffraction and Imaging (XTOP 2012),2012年09月,研究論文(国際会議プロシーディングス)
  • Effective Work Function Control of MIPS/High-k Gate Stacks by Al-Incorporation and in situ Low-Pressure Oxidation of TiN Surface,K. Chikaraishi,T. Minami,N. Kitano,T. Seino,N. Yamaguchi,T. Nakagawa,T. Hosoi,T. Shimura,H. Watanabe,Program and Abstrats of Plenary, Forums, Somiya Award and Special Lecture, IUMRS-ICEM 2012,2012年09月,研究論文(国際会議プロシーディングス)
  • Rapid Melt Growth of Fully Relaxed SiGe Layers with High Ge Concentration on Silicon-on-Insulator Substrates,T. Shimura,S. Ogiwara,Y. Suzuki,C. Yoshimoto,T. Hosoi,H. Watanabe,Program and Abstrats of Plenary, Forums, Somiya Award and Special Lecture, IUMRS-ICEM 2012,2012年09月,研究論文(国際会議プロシーディングス)
  • Development of multiline embedded X-ray targets for X-ray phase contrast imaging,N. Morimoto,S. Fujino,T. Nagatomi,K. Ohshima,J. Harada,K. Omote,N. Osaka,T. Hosoi,H. Watanabe,T. Shimura,Abstracts of 11th Biennial Conference on High Resolution X-Ray Diffraction and Imaging (XTOP 2012),2012年09月,研究論文(国際会議プロシーディングス)
  • Dielectric properties of thermally grown SiO2 on 4H-SiC (0001) substrates,T. Hosoi,Y. Uenishi,S. Mitani,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,9th European Conference on Silicon Carbide & Related Materials (ECSCRM-2012),2012年09月,研究論文(国際会議プロシーディングス)
  • Novel approach for improving interface quality of 4H-SiC MOS devices with UV irradiation and subsequent thermal annealing,H. Watanabe,D. Ikeguchi,T. Kirino,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,9th European Conference on Silicon Carbide & Related Materials (ECSCRM-2012),2012年09月,研究論文(国際会議プロシーディングス)
  • Practical protein removal using atmospheric-pressure helium plasma for densely packed gold nanoparticle arrays assembled by ferritin-based encapsulation/transport system,Tatsuya Hashimoto,Nobuyuki Zettsu,Bin Zheng,Megumi Fukuta,Ichiro Yamashita,Yukiharu Uraoka,Heiji Watanabe,Applied Physics Letters,Vol. 101,No. 7,2012年08月13日,研究論文(学術雑誌)
  • Fabrication of Au / Pt Binary-Component Metal Nanodot Array by Electrostatistically-Driven Colloidal Self-Assembly,R. Sumi,N. Zettsu,T. Ueno,T. Hosoi,H. Watanabe,N. Saito,International Union of Materials Research Society - International Conference in Asia – 2012 (IUMRS-ICA-2012),2012年08月,研究論文(国際会議プロシーディングス)
  • Investigation of unusual mobile ion effects in thermally grown SiO 2 on 4H-SiC(0001) at high temperatures,Atthawut Chanthaphan,Takuji Hosoi,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,Vol. 100,No. 25,2012年06月18日,研究論文(学術雑誌)
  • Gate Stack Technologies for Silicon Carbide Power MOS Devices (Invited),T. Hosoi,T. Kirino,Y. Uenishi,D. Ikeguchi,A. Chanthaphan,A. Yoshigoe,Y. Teraoka,S. Mitani,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2012),2012年06月,研究論文(国際会議プロシーディングス)
  • TiN電極中の酸素に起因したHf系High-kゲート絶縁膜の特性劣化,細井 卓治,大嶽 祐輝,有村 拓晃,力石 薫介,北野 尚武,志村 考功,渡部 平司,電子情報通信学会 シリコン材料・デバイス(SDM)研究会,一般社団法人電子情報通信学会,Vol. 112,No. 92,p. 43-46,2012年06月
  • 極薄GeON膜を用いた高移動度Ge MOSFETの作製と電気特性評価,箕浦 佑也,糟谷 篤志,細井 卓治,志村 考功,渡部 平司,電子情報通信学会 シリコン材料・デバイス(SDM)研究会,2012年06月
  • Insight into Bias-temperature Instability of 4H-SiC MOS Devices with Thermally Grown SiO2 Dielectrics,Atthawut Chanthaphan,Takashi Kirino,Yusuke Uenishi,Daisuke Ikeguchi,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2012 MRS Spring Meeting,2012年04月,研究論文(国際会議プロシーディングス)
  • Comprehensive study of the X-ray photoelectron spectroscopy peak shift of La-incorporated Hf oxide for gate dielectrics,Takashi Yamamoto,Shingo Ogawa,Jun-Ichi Tsuji,Koji Kita,Katsunori Tagami,Tsuyoshi Uda,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 51,No. 4,2012年04月,研究論文(学術雑誌)
  • 放射光X 線トポグラフィによる極薄ひずみSi 層の結晶性評価,志村考功,細井卓治,渡部平司,日本結晶学会誌,2012年03月
  • Compact X‐ray Talbot‐Lau interferometer with multiline embedded X‐ray targets,N. Morimoto,S. Fujino,T. Nagatomi,K. Ohshima,J. Harada,K. Omote,N. Osaka,T. Hosoi,T. Shimura,H. Watanabe,Program of International Workshop on X-ray and Neutron Phase Imaging with Gratings,2012年03月,研究論文(国際会議プロシーディングス)
  • 湿度制御雰囲気下でのin situ XPSによる吸着水/GeO<SUB>2</SUB>/Ge(100)構造の観察,有馬 健太,村 敦史,秀島 伊織,細井 卓治,渡部 平司,Liu Zhi,表面科学学術講演会要旨集,公益社団法人 日本表面科学会,Vol. 32,p. 75-75,2012年
  • Performance and reliability improvement in SiC power MOSFETs by implementing AlON high-k gate dielectrics,Takuji Hosoi,Shuji Azumo,Yusaku Kashiwagi,Shigetoshi Hosaka,Ryota Nakamura,Shuhei Mitani,Yuki Nakano,Hirokazu Asahara,Takashi Nakamura,Tsunenobu Kimoto,Takayoshi Shimura,Heiji Watanabe,Technical Digest - International Electron Devices Meeting, IEDM,2012年,研究論文(国際会議プロシーディングス)
  • Fabrication of high-quality goi and sgoi structures by rapid melt growth method - Novel platform for high-mobility transistors and photonic devices - Novel p,Heiji Watanabe,Yuichiro Suzuki,Shimpei Ogiwara,Nobuaki Kataoka,Tatsuya Hashimoto,Takuji Hosoi,Takayoshi Shimura,ECS Transactions,Vol. 50,No. 4,p. 261-266,2012年,研究論文(国際会議プロシーディングス)
  • Analysis of lattice distortion in multicrystalline silicon for photovoltaic cells by synchrotron white x-ray micro beam diffraction,Takayoshi Shimura,Takuya Matsumiya,Naoki Morimoto,Takuji Hosoi,Kentaro Kajiwara,Jun Chen,Takashi Sekiguchi,Heiji Watanabe,Materials Science Forum,Vol. 725,p. 153-156,2012年,研究論文(国際会議プロシーディングス)
  • Oxygen-induced high-k degradation in TiN/HfSiO gate stacks,Takuji Hosoi,Yuki Odake,Keisuke Chikaraishi,Hiroaki Arimura,Naomu Kitano,Takayoshi Shimura,Heiji Watanabe,2012 IEEE Silicon Nanoelectronics Workshop, SNW 2012,2012年,研究論文(国際会議プロシーディングス)
  • Impact of UV irradiation on thermally grown 4H-SiC MOS devices,Daisuke Ikeguchi,Takashi Kirino,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Vol. 717-720,p. 765-768,2012年,研究論文(国際会議プロシーディングス)
  • Impact of interface defect passivation on conduction band offset at SiO 2/4H-SiC interface,Takuji Hosoi,Takashi Kirino,Atthawut Chanthaphan,Yusuke Uenishi,Daisuke Ikeguchi,Akitaka Yoshigoe,Yuden Teraoka,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Vol. 717-720,p. 721-724,2012年,研究論文(国際会議プロシーディングス)
  • Synchrotron radiation photoelectron spectroscopy study of thermally grown oxides on 4H-SiC(0001) Si-face and (000-1) C-face substrates,Heiji Watanabe,Takuji Hosoi,Takashi Kirino,Yusuke Uenishi,Atthawut Chanthaphan,Akitaka Yoshigoe,Yuden Teraoka,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Materials Science Forum,Vol. 717-720,p. 697-702,2012年,研究論文(国際会議プロシーディングス)
  • Impact of Si diffusion barrier formed on TiN surface by in-situ oxygen treatment process for advanced gate-first metal/high-k stacks,N. Kitano,K. Chikaraishi,H. Arimura,T. Hosoi,T. Shimura,T. Seino,H. Watanabe,T. Nakagawa,ECS Transactions,Vol. 45,No. 3,p. 145-149,2012年,研究論文(国際会議プロシーディングス)
  • Impact of Si diffusion barrier formed on TiN surface by in-situ oxygen treatment process for advanced gate-first metal/high-k stacks,N. Kitano,K. Chikaraishi,H. Arimura,T. Hosoi,T. Shimura,T. Seino,H. Watanabe,T. Nakagawa,ECS Transactions,Vol. 45,No. 3,p. 145-149,2012年,研究論文(国際会議プロシーディングス)
  • Metal-nanoparticle-induced crystallization of amorphous Ge film using ferritin,Mutsunori Uenuma,Bin Zheng,Takanori Imazawa,Masahiro Horita,Takashi Nishida,Yasuaki Ishikawa,Heiji Watanabe,Ichiro Yamashita,Yukiharu Uraoka,Applied Surface Science,2012年01月,研究論文(学術雑誌)
  • 横方向液相エピタキシャル成長により作製した単結晶GOI構造の電気特性評価,鈴木 雄一朗,荻原 伸平,細井 卓治,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第17回研究会),2012年01月
  • ゲルマニウム熱酸化膜中の残留秩序構造,志村 考功,下川 大輔,松宮 拓也,細井 卓冶,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第17回研究会),2012年01月
  • 湿度制御雰囲気下でのin-situ XPSによるGeO2表面における吸着水形成過程の観測,有馬 健太,村 敦史,秀島 伊織,細井 卓治,渡部 平司,Zhi Liu,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第17回研究会),2012年01月
  • TiN電極の減圧表面酸化処理によるpoly-Si/TiN/HfSiO/SiO2ゲートスタックの実効仕事関数制御,力石 薫介,北野 尚武,有村 拓晃,細井 卓治,志村考功,中川 隆史,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第17回研究会),2012年01月
  • Impact of interface defect passivation on conduction band offset at SiO 2/4H-SiC interface,Takuji Hosoi,Takashi Kirino,Atthawut Chanthaphan,Yusuke Uenishi,Daisuke Ikeguchi,Akitaka Yoshigoe,Yuden Teraoka,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Vol. 717-720,p. 721-724,2012年,研究論文(国際会議プロシーディングス)
  • Synchrotron radiation photoelectron spectroscopy study of thermally grown oxides on 4H-SiC(0001) Si-face and (000-1) C-face substrates,Heiji Watanabe,Takuji Hosoi,Takashi Kirino,Yusuke Uenishi,Atthawut Chanthaphan,Akitaka Yoshigoe,Yuden Teraoka,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Materials Science Forum,Vol. 717-720,p. 697-702,2012年,研究論文(国際会議プロシーディングス)
  • Control of selective adsorption behavior of Ti-binding ferritin on a SiO 2 substrate by atomic-scale modulation of local surface charges,Tatsuya Hashimoto,Kentaro Gamo,Megumi Fukuta,Bin Zheng,Nobuyuki Zettsu,Ichiro Yamashita,Yukiharu Uraoka,Heiji Watanabe,Applied Physics Letters,Vol. 99,No. 26,2011年12月26日,研究論文(学術雑誌)
  • 紫外線照射による熱酸化 SiO2/SiC 構造中の電気的欠陥生成,池口大輔,桐野嵩史,箕谷周平,中野佑紀,中村孝,細井卓治,志村考功,渡部平司,SiC及び関連ワイドギャップ半導体研究会 第20回講演会 予稿集,2011年12月
  • 高温条件下における4H-SiC MOS デバイスの不安定性,Atthawut Chanthaphan,桐野嵩史,上西悠介,池口大輔,箕谷周平,中野佑紀,中村孝,細井卓治,志村考功,渡部平司,SiC及び関連ワイドギャップ半導体研究会 第20回講演会 予稿集,2011年12月
  • Drastic degradation in dielectric properties of TiN/HfSiO/SiO2 gate stacks due to Hf uptake property of TiN electrodes,T. Hosoi,H. Arimura,Y. Odake,N. Kitano,T. Shimura,H. Watanabe,Abstracts, 42nd IEEE Semiconductor Interface Specialists Conference,2011年12月,研究論文(国際会議プロシーディングス)
  • High-mobility Ge-on-insulator p-channel MOSFETs fabricated by lateral liquid-phase epitaxy,Y. Suzuki,S. Ogiwara,T. Hosoi,T. Shimura,H. Watanabe,Abstracts, 42nd IEEE Semiconductor Interface Specialists Conference,2011年12月,研究論文(国際会議プロシーディングス)
  • High-mobility Ge MOSFETs with GeON gate dielectrics formed by plasma nitridation of ultrathin GeO2,A. Kasuya,K. Kutsuki,I. Hideshima,Y. Minoura,T. Hosoi,T. Shimura,H. Watanabe,Program and Abstracts of 7th Handai Nanoscience and nanotechnology International Symposium,2011年11月
  • Characterization of Grain Boundaries and Lattice Strain in Multicrystalline Si for Solar Cells by Synchrotron White X-ray Micro-beam Diffraction Method,T. Matsumiya,N. Morimoto,S. Fujino,T. Hosoi,T. Shimura,K. Kajiwara,J. Chen,T. Sekiguchi,H. Watanabe,Program and Abstracts of 7th Handai Nanoscience and nanotechnology International Symposium,2011年11月
  • A protein removal technique with atmospheric-pressure He plasma for fabricating plasmonic device using porter-protein system,Yurie Fukunishi,Tatsuya Hashimoto,Zheng Bin,Megumi Fukuta,Nobuyuki Zettsu,Ichiro Yamashita,Yukiharu Uraoka,Heiji Watanabe,Program and Abstracts of 7th Handai Nanoscience and nanotechnology International Symposium,2011年11月
  • High-Quality Al2O3/GeO2 Gate Dielectrics Formed by Post-Deposition Oxidation of Ultrathin Metal Al Layer on Ge Substrates,Iori Hideshima,Atsushi Kasuya,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Abstracts of 15th International Conference on Thin Films (ICTF-15),2011年11月,研究論文(国際会議プロシーディングス)
  • Investigation of Mobile Ion Generation in Thermal Oxide of 4H-SiC(0001) MOS Devices with High-Temperature Hydrogen Annealing,Atthawut Chanthaphan,Takashi Kirino,Yusuke Uenishi,Daisuke Ikeguchi,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Abstracts of 15th International Conference on Thin Films (ICTF-15),2011年11月,研究論文(国際会議プロシーディングス)
  • Modulation of Conduction Band Offset at SiO2/4H-SiC Interface Depending on Interface Defect Passivation Treatment,T. Hosoi,T. Kitano,A. Chanthaphan,Y. Uenishi,D. Ikeguchi,A. Yoshigoe,Y. Teraoka,S. Mitani,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology,2011年11月
  • Two-Dimensional Strain Measurement of Strained Silicon Wafer by Synchrotron X-ray Topography and its Electrical Characterization Using Back-Gate Transistors,T. Shimura,D. Shimokawa,T. Matsumiya,N. Morimoto,A. Ogura,T. Hosoi,H. Watanabe,Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology,2011年11月
  • Effective Work Function Control of Metal Inserted Poly-Si Electroodes on HfSiO Dielectrics by In-situ Oxygen Treatment Process,N. Kitano,K. Chikaraishi,H. Arimura,T. Hosoi,T. Shimura,T. Nakagawa,H. Watanabe,Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology,2011年11月
  • Unusual Impurity Absorbability of GeO2 in GeO2/Ge Stacks,S. Ogawa,T. Suda,T. Yamamoto,K. Kutsuki,I. Hideshima,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology,2011年11月
  • Drastic Degradation in Dielectric Properties of TiN/HfSiO/SiO2 Stacks due to Hf Uptake Property of TiN Electrodes,K. Chikaraishi,H. Arimura,Y. Odake,N. Kitano,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology,2011年11月
  • Al-based High-k/Ge Gate Stacks Fabricated by Post-Deposition Oxidation of Ultrathin Al Layer on Ge Substrates,I. Hideshima,A. Kasuya,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology,2011年11月
  • Investigation of UV-Induced Electrical Defects in Thermally Grown 4H-SiC MOS Devices,D. Ikeguchi,T. Kirino,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology,2011年11月
  • Flatband Voltage Instability Due to Mobile Ions in 4H-SiC Metal-Oxide-Semiconductor Devices,A. Chanthaphan,T. Kirino,Y. Uenishi,D. Ikeguchi,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology,2011年11月
  • High-Quality Single-Crystalline Ge-on-Insulator P-Channel MOSFETs Formed by Lateral Liquid-Phase Epitaxy,T. Suzuki,S. Ogiwara,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology,2011年11月
  • Plasmonic Property of High-density Gold Nanoparticle Monolayer Arranged Using Ferritin Protein-base Encapsulation/transport System,T. Hashimoto,Z. Bin,M. Fukuta,N. Zettsu,K. Gamo,Y. Fukunishi,I.Yamashita,T. Uraoka,H. Watanabe,Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology,2011年11月
  • Fabrication of High-quality GOI and SGOI Structures by Rapid Melt Growth Method,H. Watanabe,C. Yoshimoto,T. Hashimoto,S. Ogiwara,Y. Suzuki,T. Hosoi,T. Shimura,Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology,2011年11月
  • Detrimental Hf penetration into TiN gate electrode and subsequent degradation in dielectric properties of HfSiO high-k film,Hiroaki Arimura,Yuki Odake,Naomu Kitano,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,Vol. 99,No. 14,2011年10月03日,研究論文(学術雑誌)
  • Insight into unusual impurity absorbability of GeO2 in GeO 2/Ge stacks,Shingo Ogawa,Taichi Suda,Takashi Yamamoto,Katsuhiro Kutsuki,Iori Hideshima,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,Vol. 99,No. 14,2011年10月03日,研究論文(学術雑誌)
  • Passivation of High-k Bulk and Interface Defects by Incorporating La into Hf-silicate and its Impact on Carrier Mobility [SISC] (Invited),M. Saeki,H. Arimura,N. Kitano,T. Hosoi,T. Shimura,H. Watanabe,IEEE 11th Kansai Colloquium, Electron Devices Workshop,2011年10月
  • Synchrotron radiation photoemission study of Ge3N4/Ge structures formed by plasma nitridation,Takuji Hosoi,Katsuhiro Kutsuki,Gaku Okamoto,Akitaka Yoshigoe,Yuden Teraoka,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 50,No. 10,2011年10月,研究論文(学術雑誌)
  • Impact of thermally induced structural changes on the electrical properties of TiN/HfLaSiO gate stacks,Takashi Yamamoto,Shingo Ogawa,Hiroaki Arimura,Masayuki Saeki,Naomu Kitano,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 50,No. 10,2011年10月,研究論文(学術雑誌)
  • La induced passivation of high-k bulk and interface defects in polycrystalline silicon/TiN/HfLaSiO/SiO2 stacks,Masayuki Saeki,Hiroaki Arimura,Naomu Kitano,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 50,No. 10,2011年10月,研究論文(学術雑誌)
  • Ge p-MOSFET with GeON gate dielectrics formed by plasma nitridation of GeO2,A. Kasuya,K. Kutsuki,I. Hideshima,Y. Minoura,T. Hosoi,T. Shimura,H. Watanabe,Program and Abstracts of International Workshop on Quantum Nanostructures and Nanoelectronics (QNN2011),2011年10月
  • Nanoparticle-Induced Crystallization of Amorphous Ge Film Using Ferritin,Mutsunori Uenuma,Bin Zheng,Takanori Imazawa,Naofumi Okamoto,Masahiro Horita,Takashi Nishida,Yasuaki Ishikawa,Heiji Watanabe,Ichiro Yamashita,Yukiharu Uraoka,2011 International Conference on Solid State Devices and Materials (SSDM 2011),2011年09月,研究論文(国際会議プロシーディングス)
  • Analysis of Grain Orientation and Lattice Strain in Multicrystalline Silicon for Photovoltaic Cells by Synchrotron White X-ray Micro-beam Diffraction Method,T. Shimura,T. Matsumiya,N. Morimoto,T. Hosoi,K. Kajiwara,J. Chen,T. Sekiguchi,H. Watanabe,Abstracts of 14the International Conference on Defects-Recognition, Imaging and Physics in Semiconductors (DRIP-XIV),2011年09月,研究論文(国際会議プロシーディングス)
  • 走査型容量顕微鏡による誘電体/伝導性薄膜観察,内藤裕一,渡部平司,「真空」,The Vacuum Society of Japan,Vol. 54,No. 7,p. 437-444,2011年09月
  • Impact of UV Irradiation on Thermally Grown 4H-SiC MOS Devices,Daisuke Ikeguchi,Takashi Kirino,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2011 International Conference on Silicon Carbide and Related Materials Abstract Book,2011年09月
  • Synchrotron x-ray photoelectron spectroscopy study on thermally grown SiO2/4H-SiC(0001) interface and its correlation with electrical properties,Heiji Watanabe,Takuji Hosoi,Takashi Kirino,Yusuke Kagei,Yusuke Uenishi,Atthawut Chanthaphan,Akitaka Yoshigoe,Yuden Teraoka,Takayoshi Shimura,Applied Physics Letters,Vol. 99,No. 2,2011年07月11日,研究論文(学術雑誌)
  • (依頼講演)SiC-MOS 界面欠陥の評価とその改善策,渡部 平司,SiC 及び関連ワイドギャップ半導体研究会 第6回個別討論会 予稿冊子,2011年07月
  • 高温熱処理によるTiN/HfLaSiO/SiO2ゲートスタック中Hf及びLa原子のTiN電極中への拡散とMIPS構造による抑制,大嶽祐輝,有村拓晃,佐伯雅之,力石薫介,北野尚武,細井卓治,志村考功,渡部平司,電子情報通信学会 シリコン材料・デバイス(SDM)研究会誌,2011年07月
  • Selective assembly of close-packed gold nanoparticle arrays on substrate by ferritin protein-base encapsulation system and their plasmonic properties,Tatsuya Hashimoto,Megumi Fukuta,Kentaro Gamo,Nobuyuki Zettsu,Heiji Watanabe,Abstracts Vol.2 of the 5th International Conference on Surface Plasmon Photonics,2011年05月
  • Advantage of high-density plasma nitridation for improving thermal stability of ultrathin GeO2 on Ge(100),A. Kasuya,K. Kutsuki,I. Hideshima,T. Hosoi,T. Shimura,H. Watanabe,Technical Digest of 2011 International Meeting for Future of Electron Devices, Kansai,2011年05月
  • Correlation between surface morphology and breakdown characteristics of thermally grown SiO2 dielectrics in 4H-SiC MOS Devices,Y. Uenishi,K. Kozono,S. Mitani,Y. Nakanob,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Technical Digest of 2011 International Meeting for Future of Electron Devices, Kansai,2011年05月
  • Versatile protein-based bifunctional nano-systems (encapsulation and directed assembly): Selective nanoscale positioning of gold nanoparticle-viral protein hybrids,Bin Zheng,Nobuyuki Zettsu,Megumi Fukuta,Mutsunori Uenuma,Tatsuya Hashimoto,Kentaro Gamo,Yukiharu Uraoka,Ichiro Yamashita,Heiji Watanabe,Chemical Physics Letters,Vol. 506,No. 1-3,p. 76-80,2011年04月11日,研究論文(学術雑誌)
  • Fundamental Aspects and Interface Engineering of Ge-MOS Devices,Heiji Watanabe,Katsuhiro Kutsuki,Iori Hideshima,Gaku Okamoto,Shoichiro Saito,Tomoya Ono,Takuji Hosoi,Takayoshi Shimura,2011 MRS Spring Meeting Program and Exhibit Guide,2011年04月,研究論文(国際会議プロシーディングス)
  • (Invited) Understanding and Control of Metal-Oxide-Semiconductor Interfaces for Advanced Nanoelectronics,Heiji Watanabe,Takuji Hosoi,Takayoshi Shimura,Kenji Shiraishi,Keisaku Yamada,Abstracts of The 3rd Working Group Meeting of Asia Consortium on Computational Materials Science on "Advances in Nano Device Simulation" (accms WGM3),2011年04月
  • Maximized benefit of LaAlO higher-κ gate dielectrics by optimizing the La/Al atomic ratio,Hiroaki Arimura,Stephen L. Brown,Alessandro Callegari,Andrew Kellock,John Bruley,Matt Copel,Heiji Watanabe,Vijay Narayanan,Takashi Ando,IEEE Electron Device Letters,Vol. 32,No. 3,p. 288-290,2011年03月,研究論文(学術雑誌)
  • Gate stack technologies for SiC power MOSFETs,H. Watanabe,T. Hosoi,T. Kirino,Y. Uenishi,A. Chanthaphan,D. Ikeguchi,A. Yoshigoe,Y. Teraoka,S. Mitani,Y. Nakano,T. Nakamura,T. Shimura,ECS Transactions,Vol. 41,No. 3,p. 77-90,2011年,研究論文(国際会議プロシーディングス)
  • Gate stack technologies for SiC power MOSFETs,H. Watanabe,T. Hosoi,T. Kirino,Y. Uenishi,A. Chanthaphan,D. Ikeguchi,A. Yoshigoe,Y. Teraoka,S. Mitani,Y. Nakano,T. Nakamura,T. Shimura,ECS Transactions,Vol. 41,No. 3,p. 77-90,2011年,研究論文(国際会議プロシーディングス)
  • Low-temperature crystallization of amorphous Ge thin films using metal nanoparticles,Kosuke Bundo,Takanori Imazawa,Mutsunori Uenuma,Yasuaki Ishikawa,Heiji Watanabe,Ichiro Yamashita,Yukiharu Uraoka,IMFEDK 2011 - 2011 International Meeting for Future of Electron Devices, Kansai,p. 116-117,2011年,研究論文(国際会議プロシーディングス)
  • High-quality single-crystal SiGe layers on insulator formed by rapid melt growth,S. Ogiwara,Y. Suzuki,C. Yoshimoto,T. Hosoi,T. Shimura,H. Watanabe,IMFEDK 2011 - 2011 International Meeting for Future of Electron Devices, Kansai,p. 70-71,2011年,研究論文(国際会議プロシーディングス)
  • Impact of stacked AlON/SiO2 gate dielectrics for SiC power devices,H. Watanabe,T. Kirino,Y. Uenishi,A. Chanthaphan,A. Yoshigoe,Y. Teraoka,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,ECS Transactions,Vol. 35,No. 2,p. 265-274,2011年,研究論文(国際会議プロシーディングス)
  • Impact of stacked AlON/SiO2 gate dielectrics for SiC power devices,H. Watanabe,T. Kirino,Y. Uenishi,A. Chanthaphan,A. Yoshigoe,Y. Teraoka,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,ECS Transactions,Vol. 35,No. 2,p. 265-274,2011年,研究論文(国際会議プロシーディングス)
  • Reduction of charge trapping sites in Al2O3/SiO 2 stacked gate dielectrics by incorporating nitrogen for highly reliable 4H-SiC MIS devices,Takuji Hosoi,Yusuke Kagei,Takashi Kirino,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Vol. 679-680,p. 496-499,2011年,研究論文(国際会議プロシーディングス)
  • Investigation of surface and interface morphology of thermally grown SiO2 dielectrics on 4H-SiC(0001) substrates,Takuji Hosoi,Kohei Kozono,Yusuke Uenishi,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Vol. 679-680,p. 342-345,2011年,研究論文(国際会議プロシーディングス)
  • Energy band structure of SiO2/4H-SiC interfaces and its modulation induced by intrinsic and extrinsic interface charge transfer,Heiji Watanabe,Takashi Kirino,Yusuke Kagei,James Harries,Akitaka Yoshigoe,Yuden Teraoka,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takuji Hosoi,Takayoshi Shimura,Materials Science Forum,Vol. 679-680,p. 386-389,2011年,研究論文(国際会議プロシーディングス)
  • Electrical characteristics of ge-based metal-insulator-semiconductor devices with Ge3N4 dielectrics formed by plasma nitridation,Gaku Okamoto,Katsuhiro Kutsuki,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Journal of Nanoscience and Nanotechnology,Vol. 11,No. 4,p. 2856-2860,2011年,研究論文(学術雑誌)
  • Surface cleaning and etching of 4H-SiC(0001) using high-density atmospheric pressure hydrogen plasma,Heiji Watanabe,Hiromasa Ohmi,Hiroaki Kakiuchi,Takuji Hosoi,Takayoshi Shimura,Kiyoshi Yasutake,Journal of Nanoscience and Nanotechnology,Vol. 11,No. 4,p. 2802-2808,2011年,研究論文(学術雑誌)
  • Electronic structure characterization of La incorporated Hf-based high-k gate dielectrics by NEXAFS,Takashi Yamamoto,Singo Ogawa,Masahiro Kunisu,Junichi Tsuji,Koji Kita,Masayuki Saeki,Yudai Oku,Hiroaki Arimura,Naomu Kitano,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Journal of Nanoscience and Nanotechnology,Vol. 11,No. 4,p. 2823-2828,2011年,研究論文(学術雑誌)
  • Improved electrical properties and thermal stability of GeON gate dielectrics formed by plasma nitridation of ultrathin oxides on Ge(100),Heiji Watanabe,Katsuhiro Kutsuki,Iori Hideshima,Gaku Okamoto,Takuji Hosoi,Takayoshi Shimura,Key Engineering Materials,Vol. 470,p. 152-157,2011年,研究論文(国際会議プロシーディングス)
  • The rule of Electric Surface State for the Specific Binding of the Ti-recognizing Recombinant Ferritin with Amphoteric Oxides and Silicon Oxide Surfaces,M. Fukuta,N. Zettsu,Y. Uraoka,H. Watanabe,Abstracts of Eleventh International Simposium on Biomimetic Materials Processing (BMMP-11),2011年01月
  • 急速加熱液相エピタキシャル成長法による高Ge濃度SGOI構造の作製,荻原 伸平,鈴木 雄一朗,吉本 千秋,細井 卓治,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第16回研究会),2011年01月
  • (招待講演)High-k/Metalゲートスタックにおける酸素空孔形成要因と実効仕事関数変調機構,細井 卓治,佐伯 雅之,奥 雄大,北野 尚武,有村 拓晃,大嶽 祐輝,白石 賢二,山田 啓作,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第16回研究会),2011年01月
  • In situ Synchrotron Radiation Photoemission Study of Ge3N4/Ge Structures Formed by Plasma Nitridation,Takuji Hosoi,Katsuhiro Kutsuki,Gaku Okamoto,Akitaka Yoshigoe,Yuden Teraoka,Takayoshi Shimura,Heiji Watanabe,Extended Abstracts of 2011 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF2011),2011年01月
  • 極薄Ge熱酸化膜の高密度プラズマ窒化により形成したGeON絶縁膜の熱安定性評価,糟谷 篤志,朽木 克博,秀島 伊織,細井 卓治,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第16回研究会),2011年01月
  • Impact of Thermally Induced Structural Changes on the Electrical Properties of TiN/HfLaSiO Gate Stacks,Takashi Yamamoto,Shingo Ogawa,Hiroaki Arimura,Masayuki Saeki,Naomu Kitano,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Extended Abstracts of 2011 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF2011),2011年01月
  • La Induced Passivation of High-k Bulk and Interface Defects in Poly-Si/TiN/HfLaSiO/SiO2 Stacks,Masayuki Saeki,Hiroaki Arimura,Naomu Kitano,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Extended Abstracts of 2011 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF2011),2011年01月
  • Thermal robustness and improved electrical properties of ultrathin germanium oxynitride gate dielectric,Katsuhiro Kutsuki,Iori Hideshima,Gaku Okamoto,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 50,No. 1,2011年01月,研究論文(学術雑誌)
  • Characterization of sige layer during ge condensation process by X-ray diffraction methods,Takayoshi Shimura,Tomoyuki Inoue,Daisuke Shimokawa,Takuji Hosoi,Yasuhiko Imai,Osami Sakata,Shigeru Kimura,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 50,No. 1,2011年01月,研究論文(学術雑誌)
  • Investigation of surface and interface morphology of thermally grown SiO2 dielectrics on 4H-SiC(0001) substrates,Takuji Hosoi,Kohei Kozono,Yusuke Uenishi,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Vol. 679-680,p. 342-345,2011年,研究論文(国際会議プロシーディングス)
  • Energy band structure of SiO2/4H-SiC interfaces and its modulation induced by intrinsic and extrinsic interface charge transfer,Heiji Watanabe,Takashi Kirino,Yusuke Kagei,James Harries,Akitaka Yoshigoe,Yuden Teraoka,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takuji Hosoi,Takayoshi Shimura,Materials Science Forum,Vol. 679-680,p. 386-389,2011年,研究論文(国際会議プロシーディングス)
  • Electronic structure characterization of La incorporated Hf-based high-k gate dielectrics by NEXAFS,Takashi Yamamoto,Singo Ogawa,Masahiro Kunisu,Junichi Tsuji,Koji Kita,Masayuki Saeki,Yudai Oku,Hiroaki Arimura,Naomu Kitano,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Journal of Nanoscience and Nanotechnology,Vol. 11,No. 4,p. 2823-2828,2011年,研究論文(学術雑誌)
  • (依頼講演)ゲルマニウムMOSデバイスにおける界面設計と高移動度FETへの応用,渡部平司,朽木克博,糟谷篤志,秀島伊織,斉藤正一朗,小野倫也,細井卓治,志村考功,応用物理学会 北海道支部講演会,2010年12月
  • (招待講演)極限CMOS実現に向けた高機能化ゲートスタックの設計,渡部 平司,東北大学 電気通信研究所 ナノ・スピン実験施設主催 シンポジウム「次世代集積デバイス・プロセスの展望」,2010年12月
  • Interfacial Design of High-k/Ge Gate Stacks with ZrO2 Dielectrics for Scaled Ge-based MOS devices,Takuji Hosoi,Gaku Okamoto,Iori Hideshima,Atsushi Kasuya,Katsuhiro Kutsuki,James Harries,Akitaka Yoshigoe,Yuden Teraoka,Takayoshi Shimura,Heiji Watanabe,Abstracts, 41st IEEE Semiconductor Interface Specialists Conference,2010年12月,研究論文(国際会議プロシーディングス)
  • Impact of Plasma Nitridation On Electrical properties and Thermal Stability of Ultrathin Thermal GeO2 on Ge(100),Katsuhiro Kutsuki,Atsushi Kasuya,Iori Hideshima,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Abstracts, 41st IEEE Semiconductor Interface Specialists Conference,2010年12月,研究論文(国際会議プロシーディングス)
  • Energy Band Structure of Thermally Grown SiO2/4H-SiC Interfaces and its Modulation Induced by Post-oxidation Treatments,Takashi Kirino,Yusuke Kagei,Akitaka Yoshigoe,Yuden Teraoka,Syuhei Mitani,Yuki Nakano,Takashi Nakamura,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Abstracts, 41st IEEE Semiconductor Interface Specialists Conference,2010年12月,研究論文(国際会議プロシーディングス)
  • Passivation of High-k Bulk and Interface Defects by Incorporating La into Hf-silicate and its Impact on Carrier Mobility,Masayuki Saeki,Hiroaki Arimura,Naomu Kitano,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Abstracts, 41st IEEE Semiconductor Interface Specialists Conference,2010年12月,研究論文(国際会議プロシーディングス)
  • Kinetics of interfacial layer scavenging and dipole formation for ultimate scaling of Hf-based high-k gate dielectrics,Takashi Ando,Hiroaki Arimura,Richard Haight,Matt Copel,Heiji Watanabe,Vijay Nrayanan,Abstracts, 41st IEEE Semiconductor Interface Specialists Conference,2010年12月,研究論文(国際会議プロシーディングス)
  • Autonomous Liquid-phase Nanoscale Processing for the Large-area Fabrication of Nanoparticle-based Parallel Device Arrays,Nobuyuki Zettsu,Takuji Hosoi,Shin Matsuura,Akira Watanabe,Heiji Watanabe,Abstracts for the 2010 MRS Fall Meeting technical symposia,2010年12月,研究論文(国際会議プロシーディングス)
  • Ferritin Protein-base Versatile Encapsulation/Transport System for Selective Nanoscale Positioning of Targeted Plasmonic Au Nanoparticles,Tatsuya Hashimoto,Nobuyuki Zettsu,Bin Zheng,Megumi Fukuta,Kentaro Gamo,Ichiro Yamashita,Yukiharu Uraoka,Heiji Watanabe,Abstracts for the 2010 MRS Fall Meeting technical symposia,2010年12月,研究論文(国際会議プロシーディングス)
  • Impact of Nitrogen Incorporation into A12O3 Gate Dielectrics on Flatband Voltage Stability in 4H-SiC MIS Devices,T. Hosoi,Y. Kagei,T. Kirino,S. Mitani,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology,2010年11月
  • Excellent Electrical Property of Ge-MIS Devices with ZrO2 High-k Gate Dielectrics,T. Hosoi,G. Okamoto,K. Kutsuki,J. Harries,A. Yoshigoe,Y. Teraoka,T. Shimura,H. Watanabe,Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology,2010年11月
  • Residual Order and Rate Enhancement of SiGe Thermal Oxidation,T. Shimura,Y. Okamoto,D. Shimokawa,T. Inoue,T. Hosoi,H. Watanabe,Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology,2010年11月
  • Investigation of Correlation between Thermally Grown SiO2 Thickness Fluctuation and Local Dielectric Breakdown in 4H-SiC MOS Devices,Y. Uenishi,K. Kozono,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology,2010年11月
  • Modulation on Thermally Grown SiO2/4H-SiC Energy Band Structure Depending on Surface Orientation,T. Kirino,Y. Kagei,A. Yoshigoe,Y. Teraoka,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology,2010年11月
  • Critical Titanium Coverage on SiO2 for Selective Adsorption of Ti-binding Ferritin,K. Gamo,M. Fukuta,T. Hashimoto,B. Zheng,N. Zettsu,I. Yamashita,Y. Uraoka,H. Watanabe,Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology,2010年11月
  • Selective Transportaion of Gold Nanoparticles Encapsulated with TFG Subunit Dimers and Their Plasmonic Characteristics,T. Hashimoto,B. Zheng,M. Fukuta,K. Gamo,N. Zettsu,I. Yamashita,Y. Uraoka,H. Watanabe,Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology,2010年11月
  • Fabricatrion of High-quality SiGe-on-insulator Structures by Rapid Melt Growth,S. Ogiwara,C. Yoshimoto,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology,2010年11月
  • Investigation of High-k Bulk and Interface Defects in Poly-Si/TiN/HfLaSiO/SiO2 Stacks using Charge Pumping Technique,M. Saeki,H. Arimura,N. Kitano,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology,2010年11月
  • Investigation of Structural Change in TiN/HfLaSiO Gate Stack Induced by High-temperature Annealing,T. Yamamoto,S. Ogawa,H. Arimura,M. Saeki,N. Kitano,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology,2010年11月
  • Impact of La and A1 Composition Ratio on the Electrical Properties of La-A1-O Higher-k Gate Dielectrics,H. Arimura,T. Ando,S. L. Brown,A. Kellock,A. Callegari,M. Copel,R. Haight,H. Watanabe,V. Narayanan,Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology,2010年11月
  • Thermal Robustness and Improved Electrical Properties of Ultrathin Germanium Oxynitride Gate Dielectric,K. Kutuki,I. Hideshima,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology,Vol. 50,No. 1,2010年11月
  • Fabrication of Shape Controlled Metal Nanodot Arrays by Autonomous Liquid-phase Nanoscale Processing as well as Their Charge Injection Characteritics for Floating Nanodot Gate Memory,N. Zettsu,S. Matsuura,A. Watanabe,K. Yamamura,T. Hosoi,H. Watanabe,Extended Abstracts of Third International Symposium on Atomically Controlled Fabrication Technology, 4.4, 42-43.,2010年11月
  • (招待講演)金属電極/高誘電率絶縁膜界面の物理を中心としたHigh-k/Metalゲートスタックの実効仕事関数変調機構の理解,細井卓治,佐伯雅之,喜多祐起,奥雄大,有村拓晃,北野尚武,白石賢二,山田啓作,志村考功,渡部平司,信学技報 2010年11月.,一般社団法人電子情報通信学会,Vol. 110,No. 274,p. 23-28,2010年11月
  • SiO2/4H-SiC断面構造解析による熱酸化SiO2信頼性低下要因の評価,上西悠介,小園幸平,箕谷周平,中野佑紀,中村 孝,細井卓治,志村考功,渡部平司,SiC及び関連ワイドギャップ半導体研究会 第19回講演会 予稿集,2010年10月
  • SiO2/4H-SiCエネルギーバンド構造に対する界面特性改善処理の影響,細井卓治,桐野嵩史,Atthawut Chanthaphan,池口大輔,吉越章隆,寺岡有殿,箕谷周平,中野佑紀,中村 孝,志村考功,渡部平司,SiC及び関連ワイドギャップ半導体研究会 第19回講演会 予稿集,2010年10月
  • Control of Gate Metal Effective Work Functions and Interface Layer Thickness by Designing Interface Thermodynamics Based on Heteroatom Incorporation into High-k HfO2 Gate Dielectrics,Kenji Shiraishi,Takuji Hosoi,Heiji Watanabe,Keisaku Yamada,ECS Trans,2010年10月,研究論文(学術雑誌)
  • Fabrication of fully relaxed SiGe layers with high Ge concentration on silicon-on-insulator wafers by rapid melt growth,Takayoshi Shimura,Shimpei Ogiwara,Chiaki Yoshimoto,Takuji Hosoi,Heiji Watanabe,Applied Physics Express,Vol. 3,No. 10,2010年10月,研究論文(学術雑誌)
  • Reduction of Charge Trapping Sites in Al2O3/SiO2 Stacked Gate Dielectrics by Incorporating Nitrogen for Highly Reliable 4H-SiC MIS Devices diodes,Takuji Hosoi,Yusuke Kagei,Takashi Kirino,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Abstract Booklet of the 8th European Conference on Silicon Carbide and Related Materials,2010年08月
  • ゲートファーストHigh-k MIPS構造の実効仕事関数制御に向けた指針,細井卓治,佐伯雅之,奥雄大,有村拓晃,北野尚武,白石賢二,山田啓作,志村考功,渡部平司,応用物理学会シリコンテクノロジー分科会第127回研究集会予稿集,2010年07月
  • (Invited) Fabrication of High-Quality GOI and SGOI Structures by Rapid Melt Growth Method,Heiji Watanabe,Chiaki Yoshimoto,Tatsuya Hashimoto,Shimpei Ogiwara,Takuji Hosoi,Takayoshi Shimura,The Proceedings of AM-FPD 10,2010年07月,研究論文(国際会議プロシーディングス)
  • Interface Engineering of ZrO2/Ge Gate Stacks by Post-deposition Annealing and Al2O3 Capping Layers,H. Watanabe,G. Okamoto,K. Kutsuki,J. Harries,A. Yoshigoe,Y. Teraoka,T. Hosoi,T. Shimura,Extended Abstracts of International Symposium on Technology Evolution for Silicon Nano-Electronics,2010年06月
  • Superior electrical properties and thermal stability of ultrathin GeON dielectrics formed by plasma nitridation of thermal oxides on Ge(100),K. Kutsuki,I. Hideshima,G. Okamoto,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of International Symposium on Technology Evolution for Silicon Nano-Electronics,2010年06月
  • Synchrotron X-ray Diffraction Study of Lattice Inclination and Strain in Strained Si Wafers,D. Shimokawa,T. Inoue,A. Ogura,M. Umeno,T. Hosoi,T. Shimura,H. Watanabe,Abstract Notebook of International Conference on Core Research and Engineering Science of Advanced Materials,2010年06月
  • Conductive AFM study on local dielectric degradation of thermal oxides in 4H-SiC MOS devices,Y. Uenishi,K. Kozono,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Abstract Notebook of International Conference on Core Research and Engineering Science of Advanced Materials,2010年06月
  • Control of Thermally Grown GeO2/Ge MOS Characteristics - Effects of Vanuum Annealing, Capping Layers and Electrode Material -,I. Hideshima,K. Kutsuki,G. Okamoto,T. Hosoi,T. Shimura,H. Watanabe,Abstract Notebook of International Conference on Core Research and Engineering Science of Advanced Materials,2010年06月
  • 次世代の高機能化 High-k 絶縁膜への研究開発の動き,渡部平司,月刊 MATERIAL STAGE,2010年05月
  • (Invited) Ultimate EOT Scaling (< 5A) Using Hf-Based High-k Gate Dielectrics and Impact on Carrier Mobility,Takashi Ando,Martin M. Frank,Kisik Choi,Changhwan Choi,Richard Haight,Matt Copel,Hiroaki Arimura,Heiji Watanabe,Vijay Narayanan,Abstracts of 217th ECS Meeting - Vancouver, Canada,2010年04月
  • Investigation of the Physical Origin of the Improved Electrical Properties of GeO2 Dielectric by Vacuum Annealing.,Shingo Ogawa,Takashi Yamamoto,Gaku Okamoto,Katsuhiro Kutsuki,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Program and Exhibit Guide of 2010 MRS spring meeting,2010年04月,研究論文(国際会議プロシーディングス)
  • Oxidation Mechanism at Ge/GeO2 interfaces: An ab initio Study.,Shoichiro Saito,Takuji Hosoi,Heiji Watanabe,Tomoya Ono,Program and Exhibit Guide of 2010 MRS spring meeting,2010年04月,研究論文(国際会議プロシーディングス)
  • Optimization of Composition Ratio in La-Al-O Gate Dielectrics for Advanced Metal/Higher-k Devices.,Hiroaki Arimura,Takashi Ando,Stephen L. Brown,Andrew Kellock,Alessandro Callegari,Matthew Copel,Richard Haight,Heiji Watanabe,Vijay Narayanan,Program and Exhibit Guide of 2010 MRS spring meeting,2010年04月,研究論文(国際会議プロシーディングス)
  • Comprehensive study and control of oxygen vacancy induced effective work function modulation in gate-first high-k/metal inserted poly-Si stacks,T. Hosoi,M. Saeki,Y. Oku,H. Arimura,N. Kitano,K. Shiraishi,K. Yamada,T. Shimura,H. Watanabe,Digest of Technical Papers - Symposium on VLSI Technology,p. 179-180,2010年,研究論文(国際会議プロシーディングス)
  • High-quality GeON gate dielectrics formed by plasma nitridation of ultrathin thermal oxides on Ge(100),Heiji Watanabe,Katsuhiro Kutsuki,Iori Hideshima,Gaku Okamoto,Takuji Hosoi,Takayoshi Shimura,ICSICT-2010 - 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology, Proceedings,p. 867-870,2010年,研究論文(国際会議プロシーディングス)
  • High-quality GeON gate dielectrics formed by plasma nitridation of ultrathin thermal oxides on Ge(100),Heiji Watanabe,Katsuhiro Kutsuki,Iori Hideshima,Gaku Okamoto,Takuji Hosoi,Takayoshi Shimura,ICSICT-2010 - 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology, Proceedings,p. 867-870,2010年,研究論文(国際会議プロシーディングス)
  • Control of gate metal effective work functions and interface layer thickness by designing interface thermodynamics based on heteroatom incorporation into high-k HfO2 gate dielectrics,K. Shiraishi,T. Hosoi,H. Watanabe,K. Yamada,ECS Transactions,Vol. 33,No. 6,p. 479-486,2010年,研究論文(国際会議プロシーディングス)
  • Interface reaction and rate enhancement of SiGe thermal oxidation,T. Shimura,Y. Okamoto,D. Shimokawa,T. Inoue,T. Hosoi,H. Watanabe,ECS Transactions,Vol. 33,No. 6,p. 893-899,2010年,研究論文(国際会議プロシーディングス)
  • Interface reaction and rate enhancement of SiGe thermal oxidation,T. Shimura,Y. Okamoto,D. Shimokawa,T. Inoue,T. Hosoi,H. Watanabe,ECS Transactions,Vol. 33,No. 6,p. 893-899,2010年,研究論文(国際会議プロシーディングス)
  • Thermal stability and electron irradiation damage of ordered structure in the thermal oxide layer on Si,Takayoshi Shimura,Daisuke Shimokawa,Tomoyuki Inoue,Takuji Hosoi,Heiji Watanabe,Osami Sakata,Masataka Umeno,Journal of the Electrochemical Society,Vol. 157,No. 10,p. H977-H981,2010年,研究論文(学術雑誌)
  • Comprehensive study and control of oxygen vacancy induced effective work function modulation in gate-first high-k/metal inserted poly-Si stacks,T. Hosoi,M. Saeki,Y. Oku,H. Arimura,N. Kitano,K. Shiraishi,K. Yamada,T. Shimura,H. Watanabe,Digest of Technical Papers - Symposium on VLSI Technology,p. 179-180,2010年,研究論文(国際会議プロシーディングス)
  • Ultimate EOT scaling (&lt; 5Å) using Hf-based high-κ gate dielectrics and impact on carrier mobility,Takashi Ando,Martin M. Frank,Kisik Choi,Changhwan Choi,John Bruley,Marinus Hopstaken,Richard Haight,Matt Copel,Hiroaki Arimura,Heiji Watanabe,Vijay Narayanan,ECS Transactions,Vol. 28,No. 1,p. 115-123,2010年,研究論文(国際会議プロシーディングス)
  • Fabrication of advanced La-incorporated Hf-silicate gate dielectrics using physical-vapor-deposition-based in situ method and its effective work function modulation of metal/high- k stacks,Hiroaki Arimura,Yudai Oku,Masayuki Saeki,Naomu Kitano,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Journal of Applied Physics,Vol. 107,No. 3,2010年,研究論文(学術雑誌)
  • Physical origins of mobility degradation in extremely scaled SiO 2 / HfO2 gate stacks with la and Al induced dipoles,Takashi Ando,Matt Copel,John Bruley,Martin M. Frank,Heiji Watanabe,Vijay Narayanan,Applied Physics Letters,Vol. 96,No. 13,2010年,研究論文(学術雑誌)
  • Temperature-dependent La- and Al-induced dipole behavior monitored by femtosecond pump/probe photoelectron spectroscopy,Hiroaki Arimura,Richard Haight,Stephen L. Brown,Andrew Kellock,Alessandro Callegari,Matthew Copel,Heiji Watanabe,Vijay Narayanan,Takashi Ando,Applied Physics Letters,Vol. 96,No. 13,2010年,研究論文(学術雑誌)
  • Fabrication of advanced La-incorporated Hf-silicate gate dielectrics using physical-vapor-deposition-based in situ method and its effective work function modulation of metal/high- k stacks,Hiroaki Arimura,Yudai Oku,Masayuki Saeki,Naomu Kitano,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Journal of Applied Physics,Vol. 107,No. 3,2010年,研究論文(学術雑誌)
  • Residual order in the thermal oxide of a fully strained SiGe alloy on Si,Takayoshi Shimura,Yuki Okamoto,Tomoyuki Inoue,Takuji Hosoi,Heiji Watanabe,Phys. Rev. B,2010年01月,研究論文(学術雑誌)
  • Improved electrical properties of SiC-MOS interfaces by thermal oxidation of plasma nitrided 4H-SiC(0001) surfaces,Yusuke Kagei,Takashi Kirino,Yuu Watanabe,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 645-648,p. 507-511,2010年,研究論文(国際会議プロシーディングス)
  • 大気曝露に起因したGe-MOSキャパシタの電気特性劣化とその改善手法の提案,秀島 伊織,岡本 学,朽木 克博,細井 卓治,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第15回研究会),2010年01月
  • Ge/GeO2界面の欠陥と電子状態の第一原理計算,小野 倫也,齋藤 正一朗,細井 卓治,渡部 平司,応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第15回研究会),2010年01月
  • ZrO2ゲート絶縁膜を用いたGe MOSデバイスの界面設計,細井 卓治,岡本 学,朽木 克博,景井 悠介,James Harries,吉越 章隆,寺岡 有殿,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第15回研究会),2010年01月
  • 炭素不純物に起因したTiN/HfSiONゲートスタックの電気特性劣化現象,佐伯 雅之,有村 拓晃,奥 雄大,北野 尚武,小須田 求,細井 卓治,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第15回研究会),2010年01月
  • 極薄Ge熱酸化膜の高密度プラズマ窒化により形成したGeON絶縁膜の評価,朽木克博,秀島伊織,岡本学,細井卓治,志村考功,渡部平司,応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第15回研究会),2010年01月
  • Low threshold voltage and high mobility N-channel metal-oxide-semiconductor field-effect transistor using Hf-Si/HfO2 gate stack fabricated by gate-last process,Takashi Ando,Tomoyuki Hirano,Kaori Tai,Shinpei Yamaguchi,Shinichi Yoshida,Hayato Iwamoto,Shingo Kadomura,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 49,No. 1,2010年,研究論文(学術雑誌)
  • Improved electrical properties of SiC-MOS interfaces by thermal oxidation of plasma nitrided 4H-SiC(0001) surfaces,Yusuke Kagei,Takashi Kirino,Yuu Watanabe,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 645-648,p. 507-511,2010年,研究論文(国際会議プロシーディングス)
  • Direct observation of dielectric breakdown spot in thermal oxides on 4H-SiC(0001) using conductive atomic force microscopy,Kohei Kozono,Takuji Hosoi,Yusuke Kagei,Takashi Kirino,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 645-648,p. 821-824,2010年,研究論文(国際会議プロシーディングス)
  • Improved characteristics of 4H-SiC MISFET with AlON/nitrided SiO 2 stacked gate dielectrics,Takuji Hosoi,Yusuke Kagei,Takashi Kirino,Yuu Watanabe,Kohei Kozono,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 645-648,p. 991-994,2010年,研究論文(国際会議プロシーディングス)
  • Direct observation of dielectric breakdown spot in thermal oxides on 4H-SiC(0001) using conductive atomic force microscopy,Kohei Kozono,Takuji Hosoi,Yusuke Kagei,Takashi Kirino,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 645-648,p. 821-824,2010年,研究論文(国際会議プロシーディングス)
  • Improved electrical properties of SiC-MOS interfaces by thermal oxidation of plasma nitrided 4H-SiC(0001) surfaces,Yusuke Kagei,Takashi Kirino,Yuu Watanabe,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 645-648,p. 507-511,2010年,研究論文(国際会議プロシーディングス)
  • Improved characteristics of 4H-SiC MISFET with AlON/nitrided SiO 2 stacked gate dielectrics,Takuji Hosoi,Yusuke Kagei,Takashi Kirino,Yuu Watanabe,Kohei Kozono,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 645-648,p. 991-994,2010年,研究論文(国際会議プロシーディングス)
  • 4H-SiC(0001)基板表面のプラズマ窒化と高温水素ガスアニール処理によるSiC-MOS界面特性向上,景井悠介,桐野嵩史,小園幸平,箕谷周平,中野佑紀,中村 孝,吉越章隆,寺岡有殿,細井卓治,志村考功,渡部平司,SiC及び関連ワイドギャップ半導体研究会 第18回講演会 予稿集,2009年12月
  • 導電性原子間力顕微鏡による4H-SiC(0001)熱酸化膜の局所絶縁劣化現象の観察,小園幸平,景井悠介,桐野嵩史,箕谷周平,中野佑紀,中村 孝,細井卓治,志村考功,渡部平司,SiC及び関連ワイドギャップ半導体研究会 第18回講演会 予稿集,2009年12月
  • 放射光XPSによる熱酸化SiO2/4H-SiC界面のエネルギーバンド構造分析,桐野嵩史,景井悠介,岡本 学,James Harries,吉越章隆,寺岡有殿,箕谷周平,中野佑紀,中村 孝,細井卓治,志村考功,渡部平司,SiC及び関連ワイドギャップ半導体研究会 第18回講演会 予稿集,2009年12月
  • プラズマ窒化技術とAlON/SiO2積層絶縁膜によるSiC-MOSデバイスの高機能化,渡部平司,景井悠介,小園幸平,桐野崇史,渡邊 優,箕谷周平,中野佑紀,中村 孝,吉越章隆,寺岡有殿,細井卓治,志村考功,SiC及び関連ワイドギャップ半導体研究会 第18回講演会 予稿集,2009年12月
  • Development of Silane-coupling Silicon Substrate for Device Fabrication using Protein,Megumi Fukuta,Heiji Watanabe,Ichiro Yamashita,Program and Exhibit Guide of 2009 MRS fall meeting,2009年12月,研究論文(国際会議プロシーディングス)
  • Effective Work Function Control of TaC/High-k Gate Stack by Post Metal Nitridation,Takashi Ando,Allesandro Callegari,Changhwan Choi,Marinus Hopstaken,John Bruley,Michael Gordon,Heiji Watanabe,Vijay Narayanan,Abstracts, 40th IEEE Semiconductor Interface Specialists Conference,2009年12月,研究論文(国際会議プロシーディングス)
  • New Insights into Flatband Voltage Shift and Minority Carrier Generation in GeO2/Ge MOS devices,Takuji Hosoi,Marina Saito,Iori Hideshima,Gaku Okamoto,Katsuhiro Kutsuki,Shingo Ogawa,Takashi Yamamoto,Takayoshi Shimura,Heiji Watanabe,Abstracts, 40th IEEE Semiconductor Interface Specialists Conference,2009年12月,研究論文(国際会議プロシーディングス)
  • Impact of Plasma Nitridation on Physical and Electrical Properties of Ultrathin Thermal Oxides on Ge(100).,Katsuhiro Kutsuki,Gaku Okamoto,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Program and Exhibit Guide of 2009 MRS fall meeting,2009年12月,研究論文(国際会議プロシーディングス)
  • Fabrication of Single-Crystal Local Germanium-on-Insulator Structures by Lateral Liquid-Phase Epitaxy,Tatsuya Hashimoto,Chiaki Yoshimoto,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Program and Exhibit Guide of 2009 MRS fall meeting,2009年12月,研究論文(国際会議プロシーディングス)
  • Band-edge high-performance metal-gate/high-κ nMOSFET using Hf-Si/HfO 2 stack,Takashi Ando,Tomoyuki Hirano,Kaori Tai,Shinpei Yamaguchi,Shinichi Yoshida,Hayato Iwamoto,Shingo Kadomura,Heiji Watanabe,IEEE Transactions on Electron Devices,Vol. 56,No. 12,p. 3223-3227,2009年12月,研究論文(学術雑誌)
  • First-Principles Study on Oxidation Mechanism at Ge/GeO2 Interface,S. Saito,T. Hosoi,H. Watanabe,T. Ono,Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology,2009年11月
  • Electrical Characteristics of Ge-based MIS Devices with Ge3N4 Dielectrics Formed by Plasma,G. Okamoto,K. Kutsuki,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology,2009年11月
  • Impact of gate electrode deposition process on effective work function of poly-Si/TiNHfSiO gate stacks,Y. Oku,H. Arimura,M. Saeki,N. Kitano,M. Kosuda,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology,2009年11月
  • Structural and electrical properties of GeON dielectrics formed by high-density plasma nitridation of ultrathin thermal GeO2,K. Kutsuki,G. Okamoto,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology,2009年11月
  • Observation of local dielectric degradation of thermal oxides on 4H-SiC using conductive AFM,K. Kozono,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology,2009年11月
  • Impact of Carbon Impurity on Electrical Properties of TiN/HfSiON/SiO2,M. Saeki,H. Arimura,Y. Oku,N. Kitano,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology,2009年11月
  • Thermal Instability of Effective Work Function of Metal/HfLaSiO Gate Stacks,H. Arimura,Y. Oku,M. Saeki,N. Kitano,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology,2009年11月
  • Selective Adsorption of Ti-binding Ferritin on Thin Ti Film with Various Oxidation Treatment,T. Hashimoto,K. Gamo,M. Fukuta,B. Zheng,N. Okamoto,I. Yamashita,Y. Uraoka,N. Zettsu,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology,2009年11月
  • Non-volatile Memory Applications in a 12 nm-sized Au Nanoparticle Array Fabricated by Preciously Controlled Colloidal Self-Assembly,S. Saito,T. Hosoi,H. Watanabe,N. Zettu,Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology,2009年11月
  • Advanced Gate Stack Technology for SiC-MOS Power Devices,H. Watanabe,Y. Kagei,K. Kozono,T. Kirino,Y. Watanabe,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology,2009年11月
  • First-Principles Calculation of Oxidation Mechanism at Ge/GeO2 Interfaces,Shouichiro Saito,Takuji Hosoi,Heiji Watanabe,Tomoya Ono,The 12th Asian Workshop on First-Principles Electronic Structure Calculations,2009年10月
  • Observation of Two-Dimensional Distribution of Lattoce Inclination and Strain in Strained Si Wafers by Synchrotron X-Ray Topography,Takayoshi Shimura,Tomoyuki Inoue,Daisuke Shimokawa,Takuji Hosoi,Heiji Watanabe,Atsushi Ogura,Masataka Umeno,DRIP XIII Conference,2009年09月
  • Significant Improvement in GeO2/Ge MOS Characteristics by in Situ Vacuum Annealing,T. Hosoi,G. Okamoto,K. Kutsuki,T. Shimura,H. Watanabe,Program & Abstracts of 5th Handai Nanoscience and Nanotechnology International Symposium,2009年09月
  • Experimental Verification of Interface Dipole Formation in Metal/high-k Gate Stacks,T. Hosoi,Y. Kita,T. Shimura,K. Shiraishi,Y. Nara,K. Yamada,H. Watanabe,Program & Abstracts of 5th Handai Nanoscience and Nanotechnology International Symposium,2009年09月
  • Improved Electrical Properties and Effective Work Function Control of Metal/HfLaSiO/SiO2/Si Gate Stacks Fabricated by PVD-Based In-situ Process,M. Saeki,H. Arimura,Y. Oku,N. Kitano,T. Hosoi,T. Shimura,H. Watanabe,Program & Abstracts of 5th Handai Nanoscience and Nanotechnology International Symposium,2009年09月
  • Ge3N4 Gate Dielectrics Fabricated by High-Density Plasma Nitridation of Ge(100) Surfaces,K. Kutsuki,G. Okamoto,T. Hosoi,T. Shimura,H. Watanabe,Program & Abstracts of 5th Handai Nanoscience and Nanotechnology International Symposium,2009年09月
  • Improved Physical and Electrical Properties of Ultrathin Germanium Oxides by High-Density Plasma Nitridation,K. Kutsuki,G. Okamoto,T. Hosoi,T. Shimura,H. Watanabe,Program & Abstracts of 5th Handai Nanoscience and Nanotechnology International Symposium,2009年09月
  • Fabrication of Ge Nano-Wires on Insulators Using Lateral Liquid-Phase Epitaxy,C. Yoshimoto,T. Hashimoto,T. Hosoi,T. Shimura,H. Watanabe,Program & Abstracts of 5th Handai Nanoscience and Nanotechnology International Symposium,2009年09月
  • GeO2/Ge界面形成の物理と電気特性改善技術(依頼講演),渡部平司,齊藤真里奈,齊藤正一朗,岡本学,朽木克博,細井卓治,小野倫也,志村考功,電子情報通信学会 シリコン材料・デバイス(SDM)研究会誌,2009年06月
  • Mechanism of carrier mobility degradation induced by crystallization of HfO2 gate dielectrics,Takashi Ando,Tomoyuki Hirano,Shinichi Yoshida,Kaori Tai,Shinpei Yamaguchi,Satoshi Toyoda,Hiroshi Kumihashira,Takayoshi Shimura,Hayato Iwamoto,Masaharu Oshima,Shingo Kadomura,Heiji Watanabe,Appl. Phys. Express,Vol. 2,No. 7,2009年06月,研究論文(学術雑誌)
  • Fabrication of Local Ge-on-Insulator Structures by Lateral Liquid-Phase Epitaxy: Effect of Controlling Interface Energy between Ge and Insulators on Lateral Epitaxial Growth,Tatsuya Hashimoto,Chiaki Yoshimoto,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Appl. Phys. Express,Vol. 2,No. 6,2009年05月,研究論文(学術雑誌)
  • Nitrogen Plasma Cleaning of Ge(100) Surfaces,Katsuhiro Kutsuki,Gaku Okamoto,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Surface Science,2009年03月,研究論文(学術雑誌)
  • Systematic study on work-function-shift in metal/Hf-based high-k gate stacks,Yuki Kita,Shinichi Yoshida,Takuji Hosoi,Takayoshi Shimura,Kenji Shiraishi,Yasuo Nara,Keisaku Yamada,Heiji Watanabe,APPLIED PHYSICS LETTERS,AMER INST PHYSICS,Vol. 94,No. 12,2009年03月,研究論文(学術雑誌)
  • Investigation of Flatband Voltage Instability in Metal/High-k Gate Stacks,Takuji Hosoi,Yuki Kita,Takayoshi Shimura,Heiji Watanabe,Kenji Shiraishi,Yasuo Nara,Keisaku Yamada,Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-,2009年02月
  • Synchrotron X-ray Diffraction Studies of Thermal Oxide of Strained SiGe on Si,Daisuke Shimokawa,Yuki Okamoto,Tomoyuki Inoue,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-,2009年02月
  • Lateral Liquid-Phase Epitaxy of Single-Crystal Germanium Wires on La2O3 Dielectrics,T. Hashimoto,C. Yoshimoto,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-,2009年02月
  • 4H-SiC MIS Devices with AION/SiO2/SiC Gate Structures,Y. Kagei,M. Harada,Y. Watanabe,T. Hosoi,T. Shimura,S. Mitani,Y. Nakano,T. Nakamura,H. Watanabe,Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-,2009年02月
  • Synchrotron Microbeam X-ray Diffraction Analysis of Strain Relaxation Process during Ge Condensation,Tomoyuku Inoue,Daisuke Shimokawa,Takuji Hosoi,Takayoshi Shimura,Yasuhiko Imai,Osami Sakata,Shigeru Kimura,Heiji Watanabe,Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-,2009年02月
  • Excellent Electrical Property and Flatband Voltage Controllability of HfLaSiO High-k Gate Dielectrics Fabricated by In-situ Process,H. Arimura,Y. Oku,N. Kitano,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-,2009年02月
  • Advantages of Fluorine Ion Implantation for Improving Ge3N4/Ge Interfaces,Katsuhiro Kutsuki,Gaku Okamoto,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-,2009年02月
  • Non-volatile Au Nanoparticle Memory Applications Enabled by Preciously Controlled Colloidal Self-Assembly,S. Uchida,S. Saitoh,T. Hosoi,H. Watanabe,N. Zettsu,Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-,2009年02月
  • Fabrication of Advanced Metal/High-k Gate Stacks by Atomically Controlled in-situ PVD-based Method,H. Watanabe,H. Arimura,N. Kitano,Y. Oku,M. Saeki,Y. Naitou,N. Yamaguchi,M. Kosuda,T. Hosoi,T. Shimura,Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-,2009年02月
  • Ge-MIS Devices with Ge3N4 Gate Dielectrics Fabricated by High-Density Plasma Nitridation,Takuji Hosoi,Katsuhiro Kutsuki,Gaku Okamoto,Marina Saito,Takayoshi Shimura,Heiji Watanabe,Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-,2009年02月
  • Impact of a treatment combining nitrogen plasma exposure and forming gas annealing on defect passivation of SiO2/SiC interfaces,Heiji Watanabe,Yuu Watanabe,Makoto Harada,Yusuke Kagei,Takashi Kirino,Takuji Hosoi,Takayoshi Shimura,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Materials Science Forum,Vol. 615,p. 525-528,2009年,研究論文(国際会議プロシーディングス)
  • Germanium oxynitride gate dielectrics formed by plasma nitridation of ultrathin thermal oxides on Ge(100),Katsuhiro Kutsuki,Gaku Okamoto,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,Vol. 95,No. 2,2009年,研究論文(学術雑誌)
  • First-principles study to obtain evidence of low interface defect density at Ge/GeO2 interfaces,Shoichiro Saito,Takuji Hosoi,Heiji Watanabe,Tomoya Ono,Applied Physics Letters,Vol. 95,No. 1,2009年,研究論文(学術雑誌)
  • Origin of flatband voltage shift and unusual minority carrier generation in thermally grown GeO2/Ge metal-oxide-semiconductor devices,Takuji Hosoi,Katsuhiro Kutsuki,Gaku Okamoto,Marina Saito,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,Vol. 94,No. 20,2009年,研究論文(学術雑誌)
  • Synchrotron X-ray diffraction studies of thermal oxidation of Si and SiGe,T. Shimura,Y. Okamoto,D. Shimokawa,T. Inoue,T. Hosoi,H. Watanabe,O. Sakata,M. Umeno,ECS Transactions,Vol. 19,No. 2,p. 479-493,2009年,研究論文(国際会議プロシーディングス)
  • Synchrotron X-ray diffraction studies of thermal oxidation of Si and SiGe,T. Shimura,Y. Okamoto,D. Shimokawa,T. Inoue,T. Hosoi,H. Watanabe,O. Sakata,M. Umeno,ECS Transactions,Vol. 19,No. 2,p. 479-493,2009年,研究論文(国際会議プロシーディングス)
  • AlON/SiO2 stacked gate dielectrics for 4H-SiC MIS devices,Takuji Hosoi,Makoto Harada,Yusuke Kagei,Yuu Watanabe,Takayoshi Shimura,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Heiji Watanabe,Materials Science Forum,Vol. 615,p. 541-544,2009年,研究論文(国際会議プロシーディングス)
  • Impact of a treatment combining nitrogen plasma exposure and forming gas annealing on defect passivation of SiO2/SiC interfaces,Heiji Watanabe,Yuu Watanabe,Makoto Harada,Yusuke Kagei,Takashi Kirino,Takuji Hosoi,Takayoshi Shimura,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Materials Science Forum,Vol. 615,p. 525-528,2009年,研究論文(国際会議プロシーディングス)
  • 高密度プラズマ窒化により形成したGe3N4膜の電気特性評価,朽木克博,岡本学,細井卓治,志村考功,渡部平司,応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第14回研究会),2009年01月
  • 窒化アルミナ絶縁層を有したHigh-k/Geゲートスタックの作製と特性評価,岡本学,朽木克博,細井卓治,志村考功,渡部平司,応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第14回研究会),2009年01月
  • Si基板上に直接成長させた歪みSiGe層の熱酸化膜中の残留秩序構造,下川大輔,岡本佑樹,井上智之,細井卓治,志村考功,渡部平司,応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第14回研究会),2009年01月
  • 局所横方向液相エピタキシャル成長による絶縁膜上Geワイヤの作製,橋元達也,吉本千秋,細井卓治,志村考功,渡部平司,応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第14回研究会),2009年01月
  • 真空一貫プロセスによるLa添加HfSiO高誘電率絶縁膜の電気特性向上およびフラットバンド電圧制御,有村拓晃,奥雄大,佐伯雅之,北野尚武,細井卓治,志村考功,渡部平司,応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第14回研究会),2009年01月
  • Impact of a treatment combining nitrogen plasma exposure and forming gas annealing on defect passivation of SiO2/SiC interfaces,Heiji Watanabe,Yuu Watanabe,Makoto Harada,Yusuke Kagei,Takashi Kirino,Takuji Hosoi,Takayoshi Shimura,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Materials Science Forum,Vol. 615,p. 525-528,2009年,研究論文(国際会議プロシーディングス)
  • 走査型容量顕微鏡による高誘電率金属酸化物(high-k)薄膜中の電荷トラップサイトの観察,内藤裕一,安藤淳,小木曽久人,神山聡,奈良安雄,安武潔,渡部平司,顕微鏡,日本顕微鏡学会,Vol. 43,No. 4,p. 287-291,2008年12月,研究論文(学術雑誌)
  • Formation of Advanced HfLaSiO/SiO2 Gate Dielectrics Utilizing PVD-based in-situ Fabrication Method,T. Hosoi,Y. Oku,H. Arimura,M. Saeki,N. Kitano,T. Shimura,H. Watanabe,Abstracts, 39th IEEE Semiconductor Interface Specialists Conference,2008年12月,研究論文(国際会議プロシーディングス)
  • Improved Electrical Properties of Ge3N4/Ge Interfaces by Fluorine Ion Implantation,K. Kutsuki,G. Okamoto,T. Hosoi,T. Shimura,H. Watanabe,Abstracts, 39th IEEE Semiconductor Interface Specialists Conference,2008年12月,研究論文(国際会議プロシーディングス)
  • AION/SiO2積層ゲート絶縁膜を用いた 4H-SiC MIS デバイスの作製と評価,細井卓治,景井悠介,原田 真,渡邊 優,志村考功,箕谷周平,中野佑紀,中村 孝,渡部平司,SiC及び関連ワイドギャップ半導体研究会 第17回講演会 予稿集,2008年12月
  • 窒素プラズマ照射および水素ガスアニールによるSiO2/SiC界面欠陥終端化とその熱劣化過程の評価,渡邊 優,景井悠介,桐野崇史,箕谷周平,中野佑紀,中村 孝,細井卓治,志村考功,渡部平司,SiC及び関連ワイドギャップ半導体研究会 第17回講演会 予稿集,2008年12月
  • プラズマ窒化4H-SiC表面の熱酸化によるSiO2/SiC界面の特性向上,景井悠介,渡邊優,原田真,細井卓治,志村考功,渡部平司,SiC及び関連ワイドギャップ半導体研究会 第17回講演会 予稿集,2008年12月
  • 金属電極とハフニウム系高誘電率ゲート絶縁膜界面の実効仕事関数変調機構,渡部平司,喜多祐起,細井卓治,志村考功,白石賢二,奈良安雄,山田啓作,電子情報通信学会技術研究報告[シリコン材料・デバイス],2008年12月
  • Investigation of Structural Defects in Strained Si Wafers by Synchrotron X-ray Topography,Takayoshi Shimura,Tomoyuki Inoue,Takuji Hosoi,Atsushi Ogura,Satoshi Iida,Masataka Umeno,Heiji Watanabe,Abstracts of the 5th International Symposium on Advanced Science and Technology of Silicon Materials,2008年11月,研究論文(国際会議プロシーディングス)
  • Electrical Properties of Ge3N4/Ge Gate Stacks Fabricated Using High-Density Plasma Nitridation,G. Okamoto,K. Kutsuki,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of 2008 International Workshop on Dielectric Thin Films for Future ULSI Devices Science and Technology,2008年11月,研究論文(国際会議プロシーディングス)
  • Residual Order in Thermal Oxide of Fully Strained SiGe Alloy on Si,T. Shimura,Y. Okamoto,T. Inoue,T. Hosoi,H. Watanabe,Extended Abstracts of 2008 International Workshop on Dielectric Thin Films for Future ULSI Devices Science and Technology,2008年11月,研究論文(国際会議プロシーディングス)
  • Characteristics of in-situ phosphorus-doped silicon selective epitaxial growth at atmospheric pressure,Tetsuya Ikuta,Shigeru Fujita,Hayato Iwamoto,Shingo Kadomura,Takayoshi Shimura,Heiji Watanabe,Kiyoshi Yasutake,Journal of Crystal Growth,Vol. 310,No. 21,p. 4507-4510,2008年10月15日,研究論文(学術雑誌)
  • Evaluation and Control of Strain in Si Induced by Patterned SiN Stressor,Takayoshi Shimura,T. Inoue,Y. Okamoto,Takuji Hosoi,Atsushi Ogura,O. Sakata,S. Kimura,H. Edo,S. Iida,H. Watanabe,ECS Transactions,Vol. 16,No. 4,2008年10月,研究論文(学術雑誌)
  • 真空一貫界面固相反応法による高品質Metal/High-kゲートスタック作製技術,渡部 平司,第49回真空に関する連合講演会講演予稿集,2008年10月
  • 真空一貫原子制御PVDプロセスによるTiO2/HfSiO/SiO2積層構造 High-k絶縁膜の作製と電気特性評価,渡部平司,有村拓晃,奥 雄大,細井卓治,志村考功,北野尚武,内藤裕一,山口述夫,小須田求,電気学会研究会資料-電子材料研究会EFM-08-24~34,2008年09月
  • Fabrication of Advanced TiO2/HfSiO/SiO2 Layered Higher-k Dielectrics by Atomically Controlled In-situ PVD-Based Method,H. Watanabe,H. Arimura,N. Kitano,Y. Naitou,Y. Oku,N. Yamaguchi,M. Kosuda,T. Hosoi,T. Shimura,Abstracts of Fourth International WorkShop on New Group Ⅳ Semiconductor Nanoelectronics,2008年09月
  • Characterization of Strain Relaxation Process during Ge Condensation by Synchrotron Microbeam X-ray Diffraction,T. Inoue,D. Shimokawa,T. Hosoi,T. Shimura,Y. Imai,O. Sakata,S. Kimura,H. Watanabe,Extended Abstracts of the 2008 International Conference on SOLID STATE DEVICES AND MATERIALS,2008年09月,研究論文(国際会議プロシーディングス)
  • AlON/SiO2 Stacked Gate Dielectrics for 4H-SiC MIS Devices,T. Hosoi,M. Harada,Y. Kagei,Y. Watanabe,T. Shimura,S. Mitani,Y. Nakano,T. Nakamura,H. Watanabe,Abstract of 7th European Conference on Silicon Carbide and Related Materials, Barcelona,Spain, September 7-11, 2008,2008年09月,研究論文(国際会議プロシーディングス)
  • 金属電極とHf系高誘電率絶縁膜界面の実効仕事関数変調機構,渡部平司,喜多祐起,細井卓治,志村考功,白石賢二,奈良安雄,山田啓作,半導体・集積回路技術第72回シンポジウム講演論文集,2008年07月
  • Origins of interface dipoles at p-metal/Hf-based high-k gate stacks,H. Watanabe,T. Hosoi,K. Kita,T. Shimura,K. Shiraishi,Y. Nara,K. Yamada,Abstracts of International Conference on Quantum Simulators and Design 2008,2008年06月
  • Improvement of thermally grown SiO2/SiC interfaces by plasma nitridation and post-metalization annealing,Y. Kagei,Y. Watanabe,M. Harada,T. Hosoi,T. Shimura,H. Watanabe,Abstracts of International Meeting for Future of Electron Devices, Kansai 2008,2008年05月
  • Formation of Polycrystalline-Si Thin Films Using Nanocrystalline Ge Nuclei,Chiaki Yoshimoto,Hiromasa Ohmi,Takayoshi Shimura,Hiroaki Kakiuchi,Heiji Watanabe,Kiyoshi Yasutake,Abstracts of International Meeting for Future of Electron Devices, Kansai 2008,2008年05月
  • Fabrication of Local Ge-on-Insulator Structures using Liquid Phase Selective Lateral Epitaxy,T. Hashimoto,C. Yoshimoto,T. Hosoi,T. Shimura,H. Watanabe,Abstracts of International Meeting for Future of Electron Devices, Kansai 2008,2008年05月
  • Fabrication of High-k/Ge Gate Stacks with Al-oxynitride Dielectric Films,G. Okamoto,K. Kutsuki,M. Harada,T. Hosoi,T. Shimura,H. Watanabe,Abstracts of International Meeting for Future of Electron Devices, Kansai 2008,2008年05月
  • Investigation of in-situ boron-doped Si selective epitaxial growth by comparison with arsenic doping,Tetsuya Ikuta,Shigeru Fujita,Hayato Iwamoto,Shingo Kadomura,Takayoshi Shimura,Heiji Watanabe,Kiyoshi Yasutake,Japanese Journal of Applied Physics,Vol. 47,No. 4,p. 2452-2455,2008年04月25日,研究論文(学術雑誌)
  • Characteristics of pure Ge3N4 dielectric layers formed by high-density plasma nitridation,Katsuhiro Kutsuki,Gaku Okamoto,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 47,No. 4,p. 2415-2419,2008年04月25日,研究論文(学術雑誌)
  • Landscape of Materials Design for Future Nano Electronics and Combinatorial materials Exploration,T.Chikyow,T. Nagata,N. Umezawa,M. Yoshitake,K. Ohmori,T. Yamada,H. Watanabe,K. Shiraishi,H. Koinuma,Proceedings of Technical Papaers of International Symposium on VLSI-TSA (Technology, Systems, and Applications),2008年04月,研究論文(国際会議プロシーディングス)
  • Ge微結晶核を用いた多結晶Si薄膜形成,吉本千秋,大参宏昌,志村考功,垣内弘章,渡部平司,安武潔,電子情報通信学会技術研究報告,2008年04月
  • SiO2 formation by oxidation of crystalline and hydrogenated amorphous Si in atmospheric pressure plasma excited by very high frequency power,Hiroaki Kakiuchi,Hiromasa Ohmi,Makoto Harada,Heiji Watanabe,Kiyoshi Yasutake,Japanese Journal of Applied Physics,Vol. 47,No. 3,p. 1884-1888,2008年03月21日,研究論文(学術雑誌)
  • SiO2 formation by oxidation of crystalline and hydrogenated amorphous Si in atmospheric pressure plasma excited by very high frequency power,Hiroaki Kakiuchi,Hiromasa Ohmi,Makoto Harada,Heiji Watanabe,Kiyoshi Yasutake,Japanese Journal of Applied Physics,Vol. 47,No. 3,p. 1884-1888,2008年03月21日,研究論文(学術雑誌)
  • Structural Optimization of HfTiSiO High-k Gate Dielectrics by Utilizing In-Situ PVD-Based Fabrication Method,H. Arimura,S. Horie,Y. Oku,T. Minami,N. Kitano,M. Kosuda,T. Hosoi,T. Shimura,H. Watanabe,Applied Surface Science,2008年03月,研究論文(学術雑誌)
  • Selective Epitaxial Growth of In Situ Carbon-Doped Silicon on Silicon Substrates,T. Ikuta,S. Fujita,H. Iwamoto,H. Iwamoto,S. Kadomura,T. Shimura,H. Watanabe,K. Yasutake,Surface and Interface Analysis,2008年03月,研究論文(学術雑誌)
  • Extremely Low Leakage Current from Ultra-thin HfO2 Films Formed by Neutral Beam Enhanced Atomic Layer Deposition,T. Ikoma,H. Yoshikawa,K. Kobayashi,T. Koganezawa,I. Hirosawa,H. Watanabe,S. Samukawa,Abstracts of 2008 MRS Spring Meeting, H4.46.,2008年03月,研究論文(国際会議プロシーディングス)
  • MOSトランジスタ -ゲート絶縁膜形成技術の進展と今後の展開-,渡部平司,電気学会誌,The Institute of Electrical Engineers of Japan,Vol. 128,No. 3,p. 154-156,2008年03月
  • Characterization of Strained Si Wafers by Synchrotron X-Ray Topography,Takayoshi SHIMURA,Kohta KAWAMURA,Masahiro ASAKAWA,Heiji WATANABE,Kiyoshi YASUTAKE,Atsushi OGURA,Photon Factory Activity Report 2006,2008年02月,研究論文(大学,研究機関等紀要)
  • Physical model of the PBTI and TDDB of la incorporated HfSiON gate dielectrics with pre-existing and stress-induced defects,M. Sato,N. Umezawa,J. Shimokawa,H. Arimura,S. Sugino,A. Tachibana,M. Nakamura,N. Mise,S. Kamiyama,T. Morooka,T. Eimori,K. Shiraishi,K. Yamabe,H. Watanabe,KYamada,T. Aoyama,T. Nabatame,Y. Nara,Y. Ohji,Technical Digest - International Electron Devices Meeting, IEDM,2008年,研究論文(国際会議プロシーディングス)
  • Theoretical investigations on metal/high-k interfaces,K. Shiraishi,T. Nakayama,S. Miyazaki,A. Ohta,Y. Akasaka,H. Watanabe,Y. Nara,K. Yamada,International Conference on Solid-State and Integrated Circuits Technology Proceedings, ICSICT,p. 1256-1259,2008年,研究論文(国際会議プロシーディングス)
  • Observation of crystalline imperfections in supercritical thickness strained silicon on insulator wafers by synchrotron X-ray topography,T. Shimura,T. Inouea,Y. Okamoto,T. Hosoi,H. Edo,S. Iida,A. Ogura,H. Watanabe,ECS Transactions,Vol. 16,No. 10,p. 539-543,2008年,研究論文(国際会議プロシーディングス)
  • Observation of crystalline imperfections in supercritical thickness strained silicon on insulator wafers by synchrotron X-ray topography,T. Shimura,T. Inouea,Y. Okamoto,T. Hosoi,H. Edo,S. Iida,A. Ogura,H. Watanabe,ECS Transactions,Vol. 16,No. 10,p. 539-543,2008年,研究論文(国際会議プロシーディングス)
  • Dielectric and interface properties of TiO2/HfSiO/SiO 2 layered structures fabricated By in situ pvd method,H. Arimura,Y. Naitou,N. Kitano,Y. Oku,N. Yamaguchi,M. Kosuda,T. Hosoi,T. Shimura,H. Watanabe,ECS Transactions,Vol. 16,No. 5,p. 121-129,2008年,研究論文(国際会議プロシーディングス)
  • Dielectric and interface properties of TiO2/HfSiO/SiO 2 layered structures fabricated By in situ pvd method,H. Arimura,Y. Naitou,N. Kitano,Y. Oku,N. Yamaguchi,M. Kosuda,T. Hosoi,T. Shimura,H. Watanabe,ECS Transactions,Vol. 16,No. 5,p. 121-129,2008年,研究論文(国際会議プロシーディングス)
  • Effect of annealing on electronic characteristics of HfSiON films fabricated by Damascene gate process,K. Yamabe,K. Murata,T. Hayashi,T. Tamura,M. Sato,A. Uedono,K. Shiraishi,N. Umezawa,T. Chikyow,H. Watanabe,Y. Nara,Y. Ohji,S. Miyazaki,K. Yamada,R. Hasunuma,ECS Transactions,Vol. 16,No. 5,p. 521-526,2008年,研究論文(国際会議プロシーディングス)
  • Effect of annealing on electronic characteristics of HfSiON films fabricated by Damascene gate process,K. Yamabe,K. Murata,T. Hayashi,T. Tamura,M. Sato,A. Uedono,K. Shiraishi,N. Umezawa,T. Chikyow,H. Watanabe,Y. Nara,Y. Ohji,S. Miyazaki,K. Yamada,R. Hasunuma,ECS Transactions,Vol. 16,No. 5,p. 521-526,2008年,研究論文(国際会議プロシーディングス)
  • Fundamental Aspects of Effective Work Function Instability of Metal/Hf-based High-k Gate Stacks,Heiji Watanabe,Shinichi Yoshida,Yuki Kita,Takuji Hosoi,Takayoshi Shimura,Kenji Shiraishi,Yasuo Nara,Keisaku Yamada,PHYSICS AND TECHNOLOGY OF HIGH-K GATE DIELECTRICS 6,ELECTROCHEMICAL SOC INC,Vol. 16,No. 5,p. 27-+,2008年,研究論文(国際会議プロシーディングス)
  • Fundamental Aspects of Effective Work Function Instability of Metal/Hf-based High-k Gate Stacks,Heiji Watanabe,Shinichi Yoshida,Yuki Kita,Takuji Hosoi,Takayoshi Shimura,Kenji Shiraishi,Yasuo Nara,Keisaku Yamada,PHYSICS AND TECHNOLOGY OF HIGH-K GATE DIELECTRICS 6,ELECTROCHEMICAL SOC INC,Vol. 16,No. 5,p. 27-+,2008年,研究論文(国際会議プロシーディングス)
  • Excellent electrical properties of TiO2/HfSiO/SiO2 layered higher-k gate dielectrics with sub-1 nm equivalent oxide thickness,Hiroaki Arimura,Naomu Kitano,Yuichi Naitou,Yudai Oku,Takashi Minami,Motomu Kosuda,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,Vol. 92,No. 21,2008年,研究論文(学術雑誌)
  • Control of crystalline microstructures in metal gate electrodes for nano CMOS devices,K. Ohmori,T. Chikyow,T. Hosoi,H. Watanabe,K. Nakajima,T. Adachi,A. Ishikawa,Y. Sugita,Y. Nara,Y. Ohji,K. Shiraishi,K. Yamabe,ECS Transactions,Vol. 13,No. 2,p. 201-207,2008年,研究論文(国際会議プロシーディングス)
  • Application of synchrotron X-ray diffraction methods to gate stacks of advanced MOS Devices,T. Shimura,T. Inoue,Y. Okamoto,T. Hosoi,A. Ogura,O. Sakata,S. Kimura,H. Edo,S. Iida,H. Watanabe,ECS Transactions,Vol. 13,No. 2,p. 75-82,2008年,研究論文(国際会議プロシーディングス)
  • Control of crystalline microstructures in metal gate electrodes for nano CMOS devices,K. Ohmori,T. Chikyow,T. Hosoi,H. Watanabe,K. Nakajima,T. Adachi,A. Ishikawa,Y. Sugita,Y. Nara,Y. Ohji,K. Shiraishi,K. Yamabe,ECS Transactions,Vol. 13,No. 2,p. 201-207,2008年,研究論文(国際会議プロシーディングス)
  • Application of synchrotron X-ray diffraction methods to gate stacks of advanced MOS Devices,T. Shimura,T. Inoue,Y. Okamoto,T. Hosoi,A. Ogura,O. Sakata,S. Kimura,H. Edo,S. Iida,H. Watanabe,ECS Transactions,Vol. 13,No. 2,p. 75-82,2008年,研究論文(国際会議プロシーディングス)
  • Characterization of strained Si wafers by X-ray diffraction techniques,Takayoshi Shimura,Kohta Kawamura,Masahiro Asakawa,Heiji Watanabe,Kiyoshi Yasutake,Atsushi Ogura,Kazunori Fukuda,Osami Sakata,Shigeru Kimura,Hiroki Edo,Satoshi Iida,Masataka Umeno,Journal of Materials Science: Materials in Electronics,Vol. 19,No. 1,p. S189-S193,2008年,研究論文(学術雑誌)
  • In situ arsenic-doped Si1-y Cy selective epitaxial growth under atmospheric pressure,Tetsuya Ikuta,Shigeru Fujita,Hayato Iwamoto,Shingo Kadomura,Takayoshi Shimura,Heiji Watanabe,Kiyoshi Yasutake,Applied Physics Letters,Vol. 92,No. 4,2008年,研究論文(学術雑誌)
  • 放射光X線回折法を用いた歪みSiウェーハの評価,井上智之,岡本佑樹,小椋厚志,江戸太樹,飯田敏,福田一徳,坂田修身,木村滋,梅野正隆,志村考功,安武潔,渡部平司,Extended Abstracts of the 13th Workshop on Gate Stack Technology and Physics,2008年01月
  • Metal/High-k 界面状態に依存した実効仕事関数変調機構の理解,景井悠介,喜多祐起,細井卓治,志村考功,渡部平司,白石賢二,門島勝,奈良安雄,山田啓作,Extended Abstracts of the 13th Workshop on Gate Stack Technology and Physics,2008年01月
  • 真空一貫PVD成膜を用いて形成した TiN/HfSiON p-MISFETsの特性評価,北野尚武,有村拓晃,堀江伸哉,川原孝昭,坂下真介,西田征男,由上二郎,南卓士,小須田求,細井卓治,志村考功,渡部平司,Extended Abstracts of the 13th Workshop on Gate Stack Technology and Physics,2008年01月
  • プラズマ窒化により形成したGe3N4膜の耐熱性おより耐湿性の評価,朽木克博,岡本学,細井卓治,志村考功,渡部平司,Extended Abstracts of the 13th Workshop on Gate Stack Technology and Physics,2008年01月
  • TiO2/HfSiO/SiO2 積層構造によるSub-1nm EOT 高誘導率 ゲー絶縁膜の実現,有村拓晃,堀江伸哉,奥雄大,南卓士,北野尚武,小須田求,細井卓治,志村考功,渡部平司,Extended Abstracts of the 13th Workshop on Gate Stack Technology and Physics,2008年01月
  • Metalゲート/HfSiON p-MOSFETにおける閾値上昇現象とその改善,門島勝,杉田義博,白石賢二,渡部平司,大田晃生,宮崎誠一,中島清美,知京豊裕,山田啓作,網中敏夫,黒澤悦男,松木武雄,青山敬幸,奈良安雄,大路譲,Extended Abstracts of the 13th Workshop on Gate Stack Technology and Physics,2008年01月
  • Charge trapping properties in Ti O2 HfSiOSi O2 gate stacks probed by scanning capacitance microscopy,Y. Naitou,H. Arimura,N. Kitano,S. Horie,T. Minami,M. Kosuda,H. Ogiso,T. Hosoi,T. Shimura,H. Watanabe,Applied Physics Letters,Vol. 92,No. 1,2008年,研究論文(学術雑誌)
  • A Comprehensive Study on Effective Work Function Modulation of Metal/High-k Gate Stacks,T. Hosoi,Y. Kita,Y.Kagei,T.Shimura,H. Watanabe,K. Shiraishi,Y. Nara,K. Yamada,Abstracts, 38th IEEE Semiconductor Interface Specialists Conference,2007年12月,研究論文(国際会議プロシーディングス)
  • Enhanced Performance of Gate-First p-Channel Metal-Insulator-Srmiconductor Field-Effect Transistors with Polycrystalline Silicon/TiN/HfSiON Stacks Fabricated by Physical Vapor Deposition Based In situ Method,N. Kitano,S. Horie,H. Arimura,T. Kawahara,S. Sakashita,Y. Nishida,J. Yugami,T. Minami,M. Kosuda,T. Hosoi,T. Shimura,H. Watanabe,Jpn.J.Appl.Phys. 46 (2007) L1111-L1113.,キヤノンアネルバ,Vol. 14,p. 63-65,2007年11月,研究論文(学術雑誌)
  • Structural Optimization of HfTiSiO High-k Gate Dielectrics by Utilizing In-Situ PVD-Based Fabrication Method,H. Arimura,S. Horie,T. Minami,N. Kitano,M. Kosuda,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts & ProgramFifth International Symposium on Control of Semiconductor Interfaces - for Next Generation ULSI Process Integrations -,2007年11月,研究論文(国際会議プロシーディングス)
  • Systematic Consideration on Si Substrate Depletion Appeared in p-Metal/Hf-Based HIGK-k Gate Stacks,K. Shiraishi,Y. Akasaka,M. Kadoshima,T. Nakayama,S. Miyazaki,H. Watanabe,T. Chikyow,Y. Nara,Y. Ohji,K. Yamabe,K. Yamada,The Sixth Pacific Rim International Conference on Advanced Materials and Processing,2007年11月,研究論文(国際会議プロシーディングス)
  • Low-Temperature Oxidation of Crystalline and Hydrogenated Amorphous Si Using Very High Frequency Plasma at Atmospheric Pressure,H. Kakiuchi,H. Ohmi,M. Harada,H. Watanabe,K. Yasutake,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007, Osaka, Japan,2007年10月,研究論文(国際会議プロシーディングス)
  • Low-temperature formation of SiO2 layers using a two-step atmospheric pressure plasma-enhanced deposition-oxidation process,H. Kakiuchi,H. Ohmi,M. Harada,H. Watanabe,K. Yasutake,Appl. Phys. Lett.,2007年10月,研究論文(学術雑誌)
  • Enhanced Electrical Properties of TiN/HfSiON Gate Stacks by Using the PVD-based In-situ Fabrication Method,N. Kitano,H. Arimura,S. Horie,T. Minami,M. Kosuda,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007,2007年10月
  • Selective Epitaxial Growth of In-situ Carbon-Doped Si on Si Substrates,T. Ikuta,S. Fujita,H. Iwamoto,S. Kadomura,T. Shimura,H. Watanabe,K. Yasutake,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007,2007年10月
  • Single electron trapping within high-temperature annealed high-k dielectric films detected by scanning capacitance microscopy,Y. Naitou,A. Ando,H. Ogiso,S. Kamiyama,Y. Nara,H. Watanabe,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007,2007年10月
  • Interface Properties of HfTiSiO Gate Dielectrics Formed by In-Situ PVD-Based Fabrication Method,H. Arimura,S. Horie,T. Minami,N. Kitano,M. Kosuda,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007,2007年10月
  • Systematic Study on Interface Dipole of Metal/High-k Gate Stacks,Y. Kita,S. Yoshida,T. Hosoi,T. Shimura,H. Watanabe,K. Shiraishi,Y. Nara,K. Yamada,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007,2007年10月
  • Proposal of AION/SiO2 Layered Gate Dielectric for SiC MOS Devices,M. Harada,Y. Watanabe,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007,2007年10月
  • Thermal Stability of Pure Ge3N4 Dielectric Layers Formed by High-Density Plasma Nirridation,K. Kutsuki,G. Okamoto,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007,2007年10月
  • Low-Temperature Oxidation of Crystalline and Hydrogenated Amorphous Si Using Very High Frequency Plasma at Atmospheric Pressure,H. Kakiuchi,H. Ohmi,M. Harada,H. Watanabe,K. Yasutake,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007,2007年10月
  • Correlation between surface topography and static capacitance image of ultrathin SiO2 films evaluated by scanning capacitance microscopy,Yuichi Naitou,Atsushi Ando,Hisato Ogiso,Siro Kamohara,Fumiko Yano,Akio Nishida,Heiji Watanabe,Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers,Vol. 46,No. 9 A,p. 5992-5999,2007年09月07日,研究論文(学術雑誌)
  • Characterization of Pure Ge3N4 Dielectric Layers Formed by High-Density Plasma Nitridation,K. Kutsuki,G. Okamoto,T. Hosoi,T. Shimura,K. Yasutake,H. Watanabe,Extended Abstracts of the 2007 International Conference on SOLID STATE DEVICES AND MATERIALS, TSUKUBA, 2007,2007年09月,研究論文(国際会議プロシーディングス)
  • Systematic Study on Fermi level pining of Hf-based high-k gate stacks,K. Shiraishi,Y. Akasaka,G. Nakamura,M. Kadoshima,H. Watanabe,K. Ohmori,T.Chikyow,K. Yamabe,Y. Nara,Y. Ohji,K. Yamada,Extended Abstracts of the 2007 International Conference on SOLID STATE DEVICES AND MATERIALS, TSUKUBA, 2007,2007年09月,研究論文(国際会議プロシーディングス)
  • In-situ Doped Si Selective Epitaxial Growth for Raised Source/Drain Extension CMOSFET,T. Ikuta,Y. Miyanami,S. Fujita,H. Iwamoto,S. Kadomura,T. Shimura,H. Watanabe,K. Yasutake,Extended Abstracts of the 2007 International Conference on SOLID STATE DEVICES AND MATERIALS, TSUKUBA, 2007,2007年09月,研究論文(国際会議プロシーディングス)
  • Low Threshold Voltage Gate-First pMISFETs with Poly-Si/TiN/HfSiON Stacks Fabricated with PVD-based In-situ Solid Phase Interface Reaction(SPIR) Method,N. Kitano,H. Arimura,S. Horie,T. Hosoi,T. Shimura,H. Watanabe,T. Kawahara,S. Sakashita,Y. Nishida,J. Yugami,T. Minami,M. Kosuda,Extended Abstracts of the 2007 International Conference on SOLID STATE DEVICES AND MATERIALS TSUKUBA, 2007,Vol. 2007,p. 12-13,2007年09月,研究論文(国際会議プロシーディングス)
  • First-principles study on electronic structures and dielectric properties of Si/SiO2 interface,Tomoya Ono,Katsuhiro Kutsuki,Yoshiyuki Egami,Heiji Watanabe,Kikuji Hirose,Journal of Physics: Condensed Matter,2007年08月,研究論文(学術雑誌)
  • メタルゲート/HfSiONゲート絶縁膜ゲートスタックにおけるピニング現象の改善策検討,門島勝,杉田義博,白石賢二,渡部平司,大田晃生,宮崎誠一,中島清美,知京豊裕,山田啓作,網中敏夫,黒澤悦男,松木武雄,青山敬幸,奈良安雄,大路譲,第71回 半導体・集積回路技術シンポジウム講演論文集,Vol. 71,p. 15-18,2007年07月
  • Low-Temperature Oxidation Process of Silicon Using Atmospheric Pressure Plasma,H. Kakiuchi,H. Ohmi,M. Harada,H. Watanabe,K. Yasutake,Digest of Technical Papers of the 14th International Workshop on Active-Matrix Flatpanel Displays and Devices, 11–13 July 2007, Hyogo, Japan.,2007年07月,研究論文(国際会議プロシーディングス)
  • ゲルマニウム窒化膜の形成と評価,朽木克博,岡本学,細井卓治,志村 考功,安武潔,渡部平司,ゲルマニウム窒化膜の形成と評価,2007年06月
  • Characterization of metal/high-k structures using monoenergetic positron beams,Akira Uedono,Tatsuya Naito,Takashi Otsuka,Kenichi Ito,Kenji Shiraishi,Kikuo Yamabe,Seiichi Miyazaki,Heiji Watanabe,Naoto Umezawa,Toyohiro Chikyow,Toshiyuki Ohdaira,Ryoichi Suzuki,Yasushi Akasaka,Satoshi Kamiyama,Yasuo Nara,Keisaku Yamada,JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS,JAPAN SOC APPLIED PHYSICS,Vol. 46,No. 5B,p. 3214-3218,2007年05月,研究論文(学術雑誌)
  • Characterization of epitaxial Si films grown at low-temperatures by atmospheric pressure plasma CVD,Y. Kirihata,N. Tawara,H. Ohmi,H. Kakiuchi,H. Watanabe,K. Yasutake,Abstracts 5th Int. Conf. on Silicon Epitaxy and Heterostructures,2007年05月,研究論文(国際会議プロシーディングス)
  • Role of Nitrogen Atoms in Reduction of Electron Charge Traps in Hf-Based High- $\kappa$ Dielectrics,N. Umezawa,K. Shiraishi,K. Torii,M. Boero,T. Chikyow,H. Watanabe,K. Yamabe,T. Ohno,K. Yamada,Y. Nara,IEEE Electron Device Letters,Institute of Electrical and Electronics Engineers (IEEE),Vol. 28,No. 5,p. 363-365,2007年05月,研究論文(学術雑誌)
  • Atmospheric in situ arsenic-doped SiGe selective epitaxial growth for raised-extension N-type metal-oxide-semiconductor field-effect transistor,Tetsuya Ikuta,Yuki Miyanami,Shigeru Fujita,Hayato Iwamoto,Shingo Kadomura,Takayoshi Shimura,Heiji Watanabe,Kiyoshi Yasutake,Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers,Vol. 46,No. 4 B,p. 1916-1920,2007年04月24日,研究論文(学術雑誌)
  • Impact of physical vapor deposition-based In situ fabrication method on metal/high-k gate stacks,Heiji Watanabe,Shinya Horie,Takashi Minami,Naomu Kitano,Motomu Kosuda,Takayoshi Shimura,Kiyoshi Yasutake,Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers,Vol. 46,No. 4 B,p. 1910-1915,2007年04月24日,研究論文(学術雑誌)
  • Influences of annealing in reducing and oxidizing ambients on flatband voltage properties of HfO2 gate stack structures,K. Ohmori,P. Ahmet,M. Yoshitake,T. Chikyow,K. Shiraishi,K. Yamabe,H. Watanabe,Y. Akasaka,Y. Nara,K.-S. Chang,M. L. Green,K. Yamada,Journal of Applied Physics,AIP Publishing,Vol. 101,No. 8,p. 084118-084118,2007年04月15日,研究論文(学術雑誌)
  • Formation of Silicon Dioxide Layers at Low Temperatures (150-400 C) by Atmospheric Pressure Plasma Oxidation of Silicon,H. Kakiuchi,H. Ohmi,M. Harada,H. Watanabe,K. Yasutake,Sci. Technol. Adv. Mater.,2007年04月,研究論文(学術雑誌)
  • Controllability of Flatband Voltage in Metal/High-k Gate Stack Structures.,K. Ohmori,P. Ahmet,K. Kakushima,H. Yoshikawa,K. Shiraishi,N. Umezawa,K. Nakajima,M. Yoshitake,K. Kobayashi,K. Yamabe,H. Watanabe,Y. Nara,T. Nakayama,M. L. Green,H. Iwai,K. Yamada,T. Chikyow,Abstracts of 2007MRS Spring Meeting Abstracts,2007年04月
  • Investigation of 4H-SiC MIS Devices with AlON/SiO2 Layered Structures.,M. Harada,Y. Watanabe,S. Okda,T. Shimura,K. Yasutake,H. Watanabe,Abstracts of 2007 MRS Spring Meeting,2007年04月
  • Formation of Low-Leakage-current Ultra-thin SiO2 Films Using Low-temperature Neutral Beam Oxidation.,T. Ikoma,S. Fukuda,K. Endo,H. Watanabe,S. Samukawa,Abstracts of 2007 MRS Spring Meeting,2007年04月
  • Systematic Study on Effective Work Function Instability of Metal/High-k Gate Stacks.,Y.Kita,S. Yoshida,T. Shimura,K. Yasutake,H. Watanabe,K. Shiraishi,Y. Nara,K. Yamada,Abstracts of 2007 MRS Spring Meeting,2007年04月
  • Improving the Electrical Properties of TiN/HfSiO Gate Stacks using the PVD-based In-situ Fabrication Method.,N. Kitano,S. Horie,T. Minamo,M. Kosuda,T. Shimura,K. Shiraishi,H. Watanabe,Abstracts of 2007 MRS Spring Meeting,2007年04月
  • Two type of Oxgen Vacancies in Hf-based High-k Dielectrics-Existence of "Alive" and "Dead" Oxygen Vacancies.,K. Shiraishi,T. Nakayama,S. Miyazaki,N. Umezawa,K. Yamada,H. Watanabe,T. Chikyow,Y. Nara,K. Yamada,Abstracts of 2007 MRS Spring Meeting,2007年04月
  • Characterization of TiN/HfSiON gate stacks fabricated by the PVD-based in-situ method,H. Arimura,S. Horie,T. Minami,N. Kitano,M. Kosuda,T. Shimura,K. Shiraishi,H. Watanabe,Extended Abstracts of 2007 IMFEDK International Meeting for Future of Electron Devices,Kansai,2007年04月
  • Electric properties of 4H-SiC MIS devices with AlON/SiO2 stacked gate dielectrics,Y. Watanabe,M. Harada,S. Okada,T. Shimura,K. Yasutake,H. Watanabe,Extended Abstracts of 2007 IMFEDK International Meeting for Future of Electron Devices,Kansai,2007年04月
  • Photoluminescence study of defect-free epitaxial silicon films grown at low temperatures by atmospheric pressure plasma chemical vapor deposition,Kiyoshi Yasutake,Naotaka Tawara,Hiromasa Ohmi,Yoshikazu Terai,Hiroaki Kakiuchi,Heiji Watanabe,Yasufumi Fujiwara,Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers,Vol. 46,No. 4 B,p. 2510-2515,2007年04月,研究論文(学術雑誌)
  • Significant enhancement of Si oxidation rate at low temperatures by atmospheric pressure Ar/O2 plasma,H. Kakiuchi,H. Ohmi,M. Harada,H. Watanabe,K. Yasutake,Appl. Phys. Lett.,2007年04月,研究論文(学術雑誌)
  • Isotopic labeling study of the oxygen diffusion in HfO2∕SiO2∕Si,Ming Zhao,Kaoru Nakajima,Motofumi Suzuki,Kenji Kimura,Masashi Uematsu,Kazuyoshi Torii,Satoshi Kamiyama,Yasuo Nara,Heiji Watanabe,Kenji Shiraishi,Toyohiro Chikyow,Keisaku Yamada,Applied Physics Letters,AIP Publishing,Vol. 90,No. 13,p. 133510-133510,2007年03月26日,研究論文(学術雑誌)
  • 低損傷スパッタ成膜装置を用いた真空一貫メタル電極/高誘電率絶縁膜ゲートスタック作製技術の提案,渡部平司,志村考功,南卓士,北野尚武,小須田求,キヤノンアネルバ技報,キヤノンアネルバ,Vol. 13,p. 5-11,2007年03月
  • Heavy arsenic doping of silicon grown by atmospheric pressure selective epitaxial cheical vapor deposition,T.Ikuta,Y. Miyanami,S.Fujita,H. Iwamoto,S. Kadomura,T. Simura,H. Watanabe,K. Yasutake,Science and Technology of Advanced Marerials,2007年03月,研究論文(学術雑誌)
  • Interface reactions at TiN/HfSiON gate stacs: Dependence on the electrode structure and deposition method,S. Yoshida,Y. Watanabe,Y. Kita,T. Shimura,H. Watanabe,K. Yasutake,Y. Akasaka,Y. Nara,K. Yamada,Science and Technology of Advanced Marerials,2007年03月,研究論文(学術雑誌)
  • Residual Order in the Interfacial SiO2 Layer between a High-k Material and a Si Substrate,Takayoshi SHIMURA,Eiji MISHIMA,Kohta KAWAMURA,Heiji WATANABE,Kiyoshi YASUTAKE,Photon Factory Activity Report 2005,2007年02月,研究論文(大学,研究機関等紀要)
  • 次世代nMOSFET用HfSix/HfO2/Siゲートスタックの界面反応メカニズム,喜多 祐起,吉田 慎一,安藤 崇志,田井 香織,岩元 勇人,志村 考功,渡部 平司,安武 潔,ゲートスタック研究会-材料・プロセス・評価の物理 第12回研究会予稿集,2007年02月
  • SiGe/SOI構造の酸化濃縮過程における自己停止現象とその解析,志村考功,清水教弘,堀内慎一郎,渡部平司,安武 潔,梅野正隆,ゲートスタック研究会-材料・プロセス・評価の物理 第12回研究会予稿集,2007年02月
  • TiN/HfSiONゲートスタック構造の実効仕事関数評価と制御指針,大田 晃生,宮崎 誠一,赤坂 泰志,渡部 平司,白石 賢二,山田 啓作,犬宮 誠治,奈良 安雄,ゲートスタック研究会-材料・プロセス・評価の物理 第12回研究会予稿集,2007年02月
  • 真空一貫PVD成膜により作製したTiN/HfSiONゲートスタックの構造および電気特性評価,堀江 伸哉,南 卓士,北野 尚武,小須田 求,志村 考功,白石 賢二,渡部 平司,ゲートスタック研究会-材料・プロセス・評価の物理 第12回研究会予稿集,2007年02月
  • HfSix電極からのSi拡散を利用した極薄(EOT < 1nm)HfO2ゲート絶縁膜の形成とハイパフォーマンスデバイスへの適用,安藤 崇志,平野 智之,田井 香織,山口 晋平,加藤 孝義,萩本 賢哉,渡辺 浩二,山本 亮,神田 さおり,長野 香,寺内 佐苗,館下 八州志,田川 幸雄,斉藤 正樹,岩元 勇人,吉田 慎一,渡部 平司,長島 直樹,門村 新吾,ゲートスタック研究会-材料・プロセス・評価の物理 第12回研究会予稿集,2007年02月
  • Mechanism of suppressed change in effective work functions for impurity-doped fully silicided NiSi electrodes on Hf-based gate dielectrics,Kenzo Manabe,Takashi Hase,Toru Tatsumi,Heiji Watanabe,Kiyoshi Yasutake,Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers,Vol. 46,No. 1,p. 91-97,2007年01月10日,研究論文(学術雑誌)
  • Mechanism of suppressed change in effective work functions for impurity-doped fully silicided NiSi electrodes on Hf-based gate dielectrics,Kenzo Manabe,Takashi Hase,Toru Tatsumi,Heiji Watanabe,Kiyoshi Yasutake,Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers,Vol. 46,No. 1,p. 91-97,2007年01月10日,研究論文(学術雑誌)
  • Thermal and humidity stability of Ge3N4 thin layers fabricated by high-density plasma nitridation,Katsuhiro Kutsuki,Gaku Okamoto,Takuji Hosoi,Akitaka Yoshigoe,Yuden Teraoka,Takayoshi Shimura,Heiji Watanabe,2007 International Semiconductor Device Research Symposium, ISDRS,2007年,研究論文(国際会議プロシーディングス)
  • Wide controllability of flatband voltage by tuning crystalline microstructures in metal gate electrodes,K. Ohmori,T. Chikyow,T. Hosoi,H. Watanabe,K. Nakajima,T. Adachi,A. Ishikawa,Y. Sugita,Y. Nara,Y. Ohji,K. Shiraishi,K. Yamabe,K. Yamada,Technical Digest - International Electron Devices Meeting, IEDM,p. 345-348,2007年,研究論文(国際会議プロシーディングス)
  • Humidity-dependent stability of amorphous germanium nitrides fabricated by plasma nitridation,Katsuhiro Kutsuki,Gaku Okamoto,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,Vol. 91,No. 16,2007年,研究論文(学術雑誌)
  • Interface reaction of high-k gate stack structures observed by high-resolution RBS,Zhao Ming,Kaoru Nakajima,Motofumi Suzuki,Kenji Kimura,Masashi Uematsu,Kazuyoshi Torii,Satoshi Kamiyama,Yasuo Nara,Heiji Watanabe,Kenji Shiraishi,Toyohiro Chikyow,Keisaku Yamada,ECS Transactions,Vol. 11,No. 4,p. 103-115,2007年,研究論文(国際会議プロシーディングス)
  • Theoretical studies on fermi level pining of Hf-based high-k gate stacks based on thermodynamics,K. Shiraishi,Y. Akasaka,G. Nakamura,M. Kadoshima,H. Watanabe,A. Ohta,S. Miyazaki,K. Ohmori,T. Chikyow,K. Yamabe,Y. Nara,Y. Ohji,K. Yamada,ECS Transactions,Vol. 11,No. 4,p. 125-133,2007年,研究論文(国際会議プロシーディングス)
  • Improvement in fermi-level pinning of p-MOS metal gate electrodes on HfSiON by employing Ru gate electrodes,M. Kadoshima,Y. Sugita,K. Shiraishi,H. Watanabe,A. Ohta,S. Miyazaki,K. Nakajima,T. Chikyow,K. Yamada,T. Aminaka,E. Kurosawa,T. Matsuki,T. Aoyama,Y. Nara,Y. Ohji,ECS Transactions,Vol. 11,No. 4,p. 169-180,2007年,研究論文(国際会議プロシーディングス)
  • Tight distribution of dielectric characteristics of HfSiON in metal gate devices,R. Hasunuma,T. Naito,C. Tamura,A. Uedono,K. Shiraishi,N. Umezawa,T. Chikyow,S. Inumiya,M. Sato,Y. Tamura,H. Watanabe,Y. Nara,Y. Ohji,S. Miyazaki,K. Yamada,K. Yamabe,ECS Transactions,Vol. 11,No. 4,p. 3-11,2007年,研究論文(国際会議プロシーディングス)
  • High performance gate-first pMISFET with TiN/HfSiON gate stacks fabricated with PVD-based in-situ method,Takaaki Kawahara,Yukio Nishida,Shinsuke Sakashita,Jiro Yugami,Naomu Kitano,Takashi Minami,Motomu Kosuda,Shinya Horie,Hiroaki Arimura,Takayoshi Shimura,Heiji Watanabe,ECS Transactions,Vol. 11,No. 4,p. 585-599,2007年,研究論文(国際会議プロシーディングス)
  • Vacancy-type defects in MOSFETs with high-k gate dielectrics probed by monoenergetic positron beams,A. Uedono,R. Hasunuma,K. Shiraishi,K. Yamabe,S. Inumiya,Y. Akasaka,S. Kamiyama,T. Matsuki,T. Aoyama,Y. Nara,S. Miyazaki,H. Watanabe,N. Umezawa,T. Chikyow,S. Ishibashi,T. Ohdaira,R. Suzuki,K. Yamada,ECS Transactions,Vol. 11,No. 4,p. 81-90,2007年,研究論文(国際会議プロシーディングス)
  • Study of high-k gate dielectrics by means of positron annihilation,A. Uedon,T. Naito,T. Otsuka,K. Ito,K. Shiraishi,K. Yamabe,S. Miyazaki,H. Watanabe,N. Umezawa,A. Hamid,T. Chikyow,T. Ohdaira,R. Suzuki,S. Ishibashi,S. Inumiya,S. Kamiyama,Y. Akasaka,Y. Nara,K. Yamada,Physica Status Solidi (C) Current Topics in Solid State Physics,Vol. 4,No. 10,p. 3599-3604,2007年,研究論文(国際会議プロシーディングス)
  • High performance gate-first pMISFET with TiN/HfSiON gate stacks fabricated with PVD-based in-situ method,Takaaki Kawahara,Yukio Nishida,Shinsuke Sakashita,Jiro Yugami,Naomu Kitano,Takashi Minami,Motomu Kosuda,Shinya Horie,Hiroaki Arimura,Takayoshi Shimura,Heiji Watanabe,ECS Transactions,Vol. 11,No. 4,p. 585-599,2007年,研究論文(国際会議プロシーディングス)
  • Improvement in fermi-level pinning of p-MOS metal gate electrodes on HfSiON by employing Ru gate electrodes,M. Kadoshima,Y. Sugita,K. Shiraishi,H. Watanabe,A. Ohta,S. Miyazaki,K. Nakajima,T. Chikyow,K. Yamada,T. Aminaka,E. Kurosawa,T. Matsuki,T. Aoyama,Y. Nara,Y. Ohji,ECS Transactions,Vol. 11,No. 4,p. 169-180,2007年,研究論文(国際会議プロシーディングス)
  • Theoretical studies on fermi level pining of Hf-based high-k gate stacks based on thermodynamics,K. Shiraishi,Y. Akasaka,G. Nakamura,M. Kadoshima,H. Watanabe,A. Ohta,S. Miyazaki,K. Ohmori,T. Chikyow,K. Yamabe,Y. Nara,Y. Ohji,K. Yamada,ECS Transactions,Vol. 11,No. 4,p. 125-133,2007年,研究論文(国際会議プロシーディングス)
  • Interface reaction of high-k gate stack structures observed by high-resolution RBS,Zhao Ming,Kaoru Nakajima,Motofumi Suzuki,Kenji Kimura,Masashi Uematsu,Kazuyoshi Torii,Satoshi Kamiyama,Yasuo Nara,Heiji Watanabe,Kenji Shiraishi,Toyohiro Chikyow,Keisaku Yamada,ECS Transactions,Vol. 11,No. 4,p. 103-115,2007年,研究論文(国際会議プロシーディングス)
  • Vacancy-type defects in MOSFETs with high-k gate dielectrics probed by monoenergetic positron beams,A. Uedono,R. Hasunuma,K. Shiraishi,K. Yamabe,S. Inumiya,Y. Akasaka,S. Kamiyama,T. Matsuki,T. Aoyama,Y. Nara,S. Miyazaki,H. Watanabe,N. Umezawa,T. Chikyow,S. Ishibashi,T. Ohdaira,R. Suzuki,K. Yamada,ECS Transactions,Vol. 11,No. 4,p. 81-90,2007年,研究論文(国際会議プロシーディングス)
  • Tight distribution of dielectric characteristics of HfSiON in metal gate devices,R. Hasunuma,T. Naito,C. Tamura,A. Uedono,K. Shiraishi,N. Umezawa,T. Chikyow,S. Inumiya,M. Sato,Y. Tamura,H. Watanabe,Y. Nara,Y. Ohji,S. Miyazaki,K. Yamada,K. Yamabe,ECS Transactions,Vol. 11,No. 4,p. 3-11,2007年,研究論文(国際会議プロシーディングス)
  • Suppression of surface segregation and heavy arsenic doping into silicon during selective epitaxial chemical vapor deposition under atmospheric pressure,Tetsuya Ikuta,Shigeru Fujita,Hayato Iwamoto,Shingo Kadomura,Takayoshi Shimura,Heiji Watanabe,Kiyoshi Yasutake,Applied Physics Letters,Vol. 91,No. 9,2007年,研究論文(学術雑誌)
  • Fermi-level pinning position modulation by Al-containing metal gate for cost-effective dual-metal/dual-high-k CMOS,M. Kadoshima,Y. Sugita,K. Shiraishi,H. Watanabe,A. Ohta,S. Miyazaki,K. Nakajima,T. Chikyow,K. Yamada,T. Aminaka,E. Kurosawa,T. Matsuki,T. Aoyama,Y. Nara,Y. Ohji,Digest of Technical Papers - Symposium on VLSI Technology,p. 66-67,2007年,研究論文(国際会議プロシーディングス)
  • Theoretical studies on metal/high-k gate stacks,Kenji Shiraishi,Yasushi Akasaka,Genji Nakamura,Takashi Nakayama,Seiichi Miyazaki,Heiji Watanabe,Akio Ohta,Kenji Ohmori,Toyohiro Chikyow,Yasuo Nara,Kikuo Yamabe,Keisaku Yamada,ECS Transactions,Vol. 6,No. 1,p. 191-204,2007年,研究論文(国際会議プロシーディングス)
  • Interface engineering by PVD-based in-situ fabrication method for advanced metal/high-k gate stacks,Heiji Watanabe,Shinya Horie,Hiroaki Arimura,Naomu Kitano,Takashi Minami,Motomu Kosuda,Takayoshi Shimura,Kiyoshi Yasutake,ECS Transactions,Vol. 6,No. 3,p. 71-85,2007年,研究論文(国際会議プロシーディングス)
  • Theoretical studies on metal/high-k gate stacks,Kenji Shiraishi,Yasushi Akasaka,Genji Nakamura,Takashi Nakayama,Seiichi Miyazaki,Heiji Watanabe,Akio Ohta,Kenji Ohmori,Toyohiro Chikyow,Yasuo Nara,Kikuo Yamabe,Keisaku Yamada,ECS Transactions,Vol. 6,No. 1,p. 191-204,2007年,研究論文(国際会議プロシーディングス)
  • Interface engineering by PVD-based in-situ fabrication method for advanced metal/high-k gate stacks,Heiji Watanabe,Shinya Horie,Hiroaki Arimura,Naomu Kitano,Takashi Minami,Motomu Kosuda,Takayoshi Shimura,Kiyoshi Yasutake,ECS Transactions,Vol. 6,No. 3,p. 71-85,2007年,研究論文(国際会議プロシーディングス)
  • Investigation of local charged defects within high-temperature annealed HfSiONSi O2 gate stacks by scanning capacitance spectroscopy,Y. Naitou,A. Ando,H. Ogiso,S. Kamiyama,Y. Nara,K. Yasutake,H. Watanabe,Journal of Applied Physics,Vol. 101,No. 8,2007年,研究論文(国際会議プロシーディングス)
  • Controllability of flatband voltage in high-k gate stack structures - Remarkable advantages of la2O3 over HfO2,K. Ohmori,P. Ahmet,K. Shiraishi,K. Yamabe,H. Watanabe,Y. Akasaka,N. Umezawa,K. Nakajima,M. Yoshitake,T. Nakayama,K. S. Chang,K. Kakushima,Y. Nara,M. L. Green,H. Iwai,K. Yamada,T. Chikyow,ICSICT-2006: 2006 8th International Conference on Solid-State and Integrated Circuit Technology, Proceedings,p. 376-379,2007年,研究論文(国際会議プロシーディングス)
  • Physics of interfaces between gate electrodes and high-k dielectrics,K. Shiraishi,H. Takeuchi,Y. Akasaka,T. Nakayama,S. Miyazaki,T. Nakaoka,A. Ohta,H. Watanabe,N. Umezawa,K. Ohmori,P. Ahmet,K. Toii,T. Chikyow,Y. Nara,T.-J. King Liu,H. Iwai,K. Yamada,ICSICT-2006: 2006 8th International Conference on Solid-State and Integrated Circuit Technology, Proceedings,p. 384-387,2007年,研究論文(国際会議プロシーディングス)
  • Highly efficient oxidation of silicon at low temperatures using atmospheric pressure plasma,Hiroaki Kakiuchi,Hiromasa Ohmi,Makoto Harada,Heiji Watanabe,Kiyoshi Yasutake,Applied Physics Letters,Vol. 90,No. 9,2007年,研究論文(学術雑誌)
  • Recent Progress in Understanding the Mechanism of Schottky Barrier Height Formation at Various Interfaces (INVITED),K. Shiraishi,T. Nakayama,S. Okada,S. Miyazaki,H. Watanabe,Y. Akasaka,T. Chikyow,Y. Nara,K. Yamada,Abstrasts of International Symposium on Theories of Organic/Metal Interfaces, p24.,2007年01月
  • Theory of fermi level pinning of high-k dielectrics,Kenji Shiraishi,Yasushi Akasaka,Naoto Umezawa,Yasuo Nara,Keisaku Yamada,Hideki Takeuchi,Heiji Watanabe,Toyohiro Chikyow,Tsu-Jae King Liu,International Conference on Simulation of Semiconductor Processes and Devices, SISPAD,p. 306-313,2007年,研究論文(国際会議プロシーディングス)
  • Physics of interfaces between gate electrodes and high-k dielectrics,K. Shiraishi,H. Takeuchi,Y. Akasaka,T. Nakayama,S. Miyazaki,T. Nakaoka,A. Ohta,H. Watanabe,N. Umezawa,K. Ohmori,P. Ahmet,K. Toii,T. Chikyow,Y. Nara,T.-J. King Liu,H. Iwai,K. Yamada,ICSICT-2006: 2006 8th International Conference on Solid-State and Integrated Circuit Technology, Proceedings,p. 384-387,2007年,研究論文(国際会議プロシーディングス)
  • Study of high-k gate dielectrics by means of positron annihilation,A. Uedon,T. Naito,T. Otsuka,K. Ito,K. Shiraishi,K. Yamabe,S. Miyazaki,H. Watanabe,N. Umezawa,A. Hamid,T. Chikyow,T. Ohdaira,R. Suzuki,S. Ishibashi,S. Inumiya,S. Kamiyama,Y. Akasaka,Y. Nara,K. Yamada,Physica Status Solidi (C) Current Topics in Solid State Physics,Vol. 4,No. 10,p. 3599-3604,2007年,研究論文(国際会議プロシーディングス)
  • Mechanism for fermi level pinning at electrode/Hf-based dielectric interface: Systematic study of dependence of effective work functions for polycrystalline silicon and fully silicided NiSi electrodes on Hf density at interface,Kenzo Manabe,Takashi Hase,Toru Tatsumi,Heiji Watanabe,Kiyoshi Yasutake,Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers,Vol. 45,No. 12,p. 9053-9057,2006年12月15日,研究論文(学術雑誌)
  • Modified oxygen vacancy induced fermi level pinning model extendable to P-metal pinning,Yasushi Akasaka,Genji Nakamura,Kenji Shiraishi,Naoto Umezawa,Kikuo Yamabe,Osamu Ogawa,Myoungbum Lee,Toshio Amiaka,Tooru Kasuya,Heiji Watanabe,Toyohiro Chikyow,Fumio Ootsuka,Yasuo Nara,Kunio Nakamura,Japanese Journal of Applied Physics, Part 2: Letters,Vol. 45,No. 46-50,p. L1289-L1292,2006年12月,研究論文(学術雑誌)
  • A New Theoretical Insight for the Schottky Barrier Heights,K. Shiraishi,T. Nakayama,S. Okada,Y. Akasaka,S. Miyazaki,T. Nakaoka,A. Ohta,K. Torii,H. Watanabe,T. Chikyow,Y. Nara,K. Yamada,International Conference on Quantum Simulators and Design, O-9, Hiroshima, Japan, 2006.,2006年12月
  • Physical and Electrical Characterization of HfSix/HfO2 Gate Stacks for High-Performance nMOSFET Application,S. Yoshida,Y. Kita,T. Ando,K. Tai,H. Iwamoto,T. Shimura,H. Watanabe,K. Yasutake,Abstracts of 37th IEEE Semiconductor Interface Specialists Conference 3-3.,2006年12月
  • Structural Change of the Interfacial SiO$_2$ Layer between HfO$_2$ layers and Si Substrates,Takayoshi Shimura,Eiji Mishima,Kohta Kawamura,Heiji Watanabe,Kiyoshi Yasutake,Extended Abstracts of 2006 International Workshop on Dielectric Thin Films for Future ULSI Devices - Science and Technology,2006年11月
  • Interface Engineering of High-k Gate Dielectrics for Advanced CMOS (INVITED),H. Watanabe,Abstracts of Handai Nanoscience and Technology International Symposium 2006, pp. 30, Osaka, Japan.,2006年11月
  • 大気圧プラズマによるSi表面の高速酸化,原田真,垣内弘章,大参宏昌,渡部平司,安武潔,薄膜材料デバイス研究会 第3回研究集会予稿集「薄膜デバイスの新展開」pp. 149.-150.,2006年11月
  • A. New Insight into Control of Fermi Level Pinning in TiN/HfSiON Gate Stacks,A. Ohta,S. Miyazaki,Y. Akasaka,H. Watanabe,K. Shiraishi,K. Yamada,S. Inumiya,Y. Nara,Extended Abstracts of 2006 International Workshop on Dielectric Thin Films for Future ULSI Devices –Science and Technology-, pp.61-62, Kanagawa, Japan,2006年11月
  • Low-temperature crystallization of amorphous silicon by atmospheric-pressure plasma treatment in H2/He or H2/Ar mixture,Hiromasa Ohmi,Hiroaki Kakiuchi,Kenichi Nishijima,Heiji Watanabe,Kiyoshi Yasutake,Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers,Vol. 45,No. 10 B,p. 8488-8493,2006年10月21日,研究論文(学術雑誌)
  • Low-temperature growth of epitaxial Si films by atmospheric pressure plasma chemical vapor deposition using porous carbon electrode,Hiromasa Ohmi,Hiroaki Kakiuchi,Naotaka Tawara,Takuya Wakamiya,Takayoshi Shimura,Heiji Watanabe,Kiyoshi Yasutake,Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers,Vol. 45,No. 10 B,p. 8424-8429,2006年10月21日,研究論文(学術雑誌)
  • The Origin of Long-range Contrast in Hf-silicate Films Observed by Scanning Capacitance Microscopy,Y. Naitou,A. Ando,H. Ogiso,S. Kamiyama,Y. Nara,H. Watanabe,K. Yasutake,Extenden Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2006,2006年10月
  • Systematic Study on Effective Work Functions for Poly-Si and Fully Silicided NiSi Electrodes on Hf-based Gate Dielectrics,K. Manabe,T. Hase,T. Tatsumi,H. Watanabe,K. Yasutake,Extenden Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2006,2006年10月
  • PVD-based In-situ Fabrication Method for Improving the Electrical Properties of Metal/High-k Gate Stacks,S. Horie,T. Minami,N. Kitano,M. Kosuda,H. Watanabe,K. Yasutake,Extenden Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2006,2006年10月
  • Oxidation Rate Diminidhment of SiGe Epitaxial Films on Silicon-on-insulator Wafers,S. Horiuchi,M. Shimizu,T. Shimura,H. Watanabe,K. Yasutake,2006年10月
  • Interface Reactions at TiN/HfSiON Gate Stacks Depending on the Electrode Structure and Deposition Method,S. Yoshida,Y. Watanabe,Y. Kita,T. Shimura,H. Watanabe,K. Yasutake,Y. Akasaka,Y. Nara,K. Yamada,2006年10月
  • High Rate Oxidation of Si Surfaces by using Atmospheric Pressure Plasma,M. Harada,H. Kakiuchi,H. Ohmi,H. Watanabe,K. Yasutake,Extenden Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2006,2006年10月
  • Fabrication of Polycrystalline Thin Films on Glass Substrates Using Ge Nano-Islands and Nuclei,K. Minami,C. Yoshimoto,H. Ohmi,T. Shimura,H. Kakiuchi,H. Watanabe,K. Yasutake,Extenden Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2006,2006年10月
  • Characterization of Epitaxial Si Films Grown at Low Temperatures by Atmospheric Pressure Plasma Chemical Vappor Deposition,N. Tawara,H. Ohmi,Y. Terai,T. Shimura,H. Kakiuchi,H. Watanabe,Y. Fujiwara,K. Yasutake,Extenden Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2006,2006年10月
  • Atomospheric Pressure Hydrogen Plasma Treatment of 4H-SiC(0001) Surfaces Using Porous Carbon Electrode,M. Harada,H. Ohmi,H. Kakiuchi,H. Watanabe,K. Yasutake,Extenden Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2006,2006年10月
  • Structural Change of the Thermal Oxide Layer on Si Substrates by Diffusion of Atomic Oxygen,Takayoshi Shimura,Eiji Mishima,Kohta Kawamura,Heiji Watanabe,Kiyoshi Yasutake,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology,2006年10月
  • Oxidation Rate Diminishment of SiGe Epitaxial Films on Silicon-on-insulator Wafers,S. Horiuchi,M. Shimizu,T. Shimura,H. Watanabe,K. Yasutake,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.155-156, Osaka, Japan.,2006年10月
  • The Origin of Long-range Contrast in Hf-silicate Films Observed by Scanning Capacitance Microscopy,Y. Naitou,A. Ando,H. Ogiso,S. Kamiyama,Y. Nara,H. Watanabe,K. Yasutake,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.153-154, Osaka, Japan.,2006年10月
  • Systematic Study on Effective Work Functions for Poly-Si and Fully Silicided NiSi Electrodes on Hf-based Gate Dielectrics,K. Manabe,T. Hase,T. Tatsumi,H. Watanabe,K. Yasutake,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.151-152, Osaka, Japan.,2006年10月
  • PVD-based In-situ Fabrication Method for Improving the Electrical Properties of Metal/High-k Gate Stacks,S. Horie,T. Minami,N. Kitano,M. Kosuda,H. Watanabe,K. Yasutake,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.149-150, Osaka, Japan.,2006年10月
  • Interface Reactions at TiN/HfSiON Gate Stacks Depending on the Electrode Structure and Deposition Method,S. Yoshida,Y. Watanabe,Y. Kita,T. Shimura,H. Watanabe,K. Yasutake,Y. Akasaka,Y. Nara,K. Yamada,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.147-148, Osaka, Japan.,2006年10月
  • High Rate Oxidation of Si Surfaces by using Atmospheric Pressure Plasma,M. Harada,H. Kakiuchi,H. Ohmi,H. Watanabe,K. Yasutake,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.78-79, Osaka, Japan.,2006年10月
  • Atmospheric Pressure Hydrogen Plasma Treatment of 4H-SiC(0001) Surfaces Using Porous Carbon Electrode,M. Harada,H. Ohmi,H. Kakiuchi,H. Watanabe,K. Yasutake,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.75-76, Osaka, Japan.,2006年10月
  • Characterization of Epitaxial Si Films Grown at Low Temperatures by Atmospheric Pressure Plasma Chemical Vapor Deposition,N. Tawara,H. Ohmi,Y. Terai,T. Shimura,H. Kakiuchi,H. Watanabe,Y. Fujiwara,K. Yasutake,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.69-70, Osaka, Japan.,2006年10月
  • Fabrication of Polycrystalline Thin Films on Glass Substrates Using Ge Nano-Islands and Nuclei,K. Minami,C. Yoshimoto,H. Ohmi,T. Shimura,H. Kakiuchi,H. Watanabe,K. Yasutake,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.65-66, Osaka, Japan.,2006年10月
  • Dopant Concentration Influence on Scanning Capacitance Microscopy Imaging in Ultrathin SiO2 Films,Y. Naitou,A. Ando,H. Ogiso,H. Watanabe,K. Yasutake,Extended Abstracts of 2006 International Workshop on Dielectric Thin Films for Future ULSI Devices –Science and Technology-, pp.21-22, Kanagawa, Japan,2006年10月
  • Characterization of metal/high-k structures using a monoenergetic positron beam,A. Uedono,T. Naito,T. Otsuka,K. Shiraishi,K. Yamabe,S. Miyazaki,H. Watanabe,N. Umezawa,T. Chikyow,Y. Akasaka,S. Kamiyama,Y. Nara,K. Yamada,Extended Abstracts of 2006 International Workshop on Dielectric Thin Films for Future ULSI Devices –Science and Technology-, pp.51-52, Kanagawa, Japan,2006年10月
  • Oxidation process of HfO2/SiO2/Si structures observed by high-resolution RBS,Z. Ming,K. Nakajima,M. Suzuki,K. Kimura,M. Uematsu,K. Torii,S. Kamiyama,Y. Nara,H. Watanabe,K. Shiraishi,T. Chikyow,K. Yamada,International Conference on Solid-State and Integrated-Circuit Technology, Shanghai, China, 2006,p. 392-396,2006年10月,研究論文(国際会議プロシーディングス)
  • Ge Nuclei for Fabrication of Poly-Si Thin Films on Glass Substrates,K. Yasutake,H. Watanabe,H. Ohmi,H. Kakiuchi,Meeting Abst. MA2006-02 Joint Int. Meeting of 210th Meeting of The Electrochemical Society and XXI Congreso de la Sociedad Mexicana de Electroquimica, Oct. 29-Nov. 3, 2006. Cancun, Mexico, (2006) #1575.,The Electrochemical Society,2006年10月
  • Introduction of defects into HfO2 gate dielectrics by metal-gate deposition studied using x-ray photoelectron spectroscopy and positron annihilation,A. Uedono,T. Naito,T. Otsuka,K. Shiraishi,K. Yamabe,S. Miyazaki,H. Watanabe,N. Umezawa,T. Chikyow,Y. Akasaka,S. Kamiyama,Y. Nara,K. Yamada,Journal of Applied Physics,AIP Publishing,Vol. 100,No. 6,p. 064501-064501,2006年09月15日,研究論文(学術雑誌)
  • High-resolution RBS analysis of Si-dielectric interfaces,Z. Ming,K. Nakajima,M. Suzuki,K. Kimura,M. Uematsu,K. Torii,S. Kamiyama,Y. Nara,H. Watanabe,K. Shiraishi,T. Chikyow,K. Yamada,Extended Abstracts of the 2006 International Conference on Solid State Devices and Materials, Yokohama, 2006, pp.380-381.,2006年09月
  • Spatial Fluctuation of Electrical Properties in Hf-Silicate Film Observed with Scanning Capacitance Microscopy,Y. Naitou,A. Ando,H. Ogiso,S. Kamiyama,Y. Nara,H. Watanabe,K. Yasutake,Extended Abstracts of the 2006 International Conference on Solid State Devices and Materials, Yokohama, 2006, pp.392-393.,Vol. 2006,p. 392-393,2006年09月
  • Impact of PVD-based In-situ Fabrication Method for Metal/High-k Gate Stacks,S. Horie,T. Minami,N. Kitano,M. Kosuda,H. Watanabe,K. Yasutake,Extended Abstracts of the 2006 International Conference on Solid State Devices and Materials, Yokohama, 2006, pp.414-415.,2006年09月
  • Low-Leakage-Current Ultra-thin SiO2 Films by Low-Temperature Neutral Beam Oxidation,T. Ikoma,C. Taniguchi,S. Fukuda,K. Endo,H. Watanabe,S. Samukawa,Extended Abstracts of the 2006 International Conference on Solid State Devices and Materials, Yokohama, 2006, pp.448-449.,2006年09月
  • Characterization of Epitaxial Silicon Films Grown by Atmospheric Pressure Plasma Chemical Vapor Deposition at Low Temperatures(450-600℃),N. Tawara,H. Ohmi,Y. Terai,H. Kakiuchi,H. Watanabe,Y. Fujiwara,K. Yasutake,Extended Abstracts of the 2006 International Conference on Solid State Devices and Materials, Yokohama, 2006, pp.1094-1095.,2006年09月
  • Sample Tilting Technique for Preventing Electrostatic Discharge during High-current FIB Gas-assisted Etching with XeF2,H. Komoda,C. Moritani,K. Takahashi,H. Watanabe,K. Yasutake,Microelectronics Reliability (in press, online 19 June),2006年06月,研究論文(学術雑誌)
  • Novel Charge Neutralization Techniques Applicable to Wide Current Rage of FIB Processing in FIB-EB Combined System,H. Komoda,M. Yoshida,Y. Yamamoto,K. Iwasaki,I. Nakatani,H. Watanabe,K. Yasutake,Microelectronics Reliability (in press, online June 5),2006年06月,研究論文(学術雑誌)
  • Sub-1nm EOT HfSix/HfO2 Gate Stack Using Novel Si Extrusion Process for High Performance Application,T. Ando,T. Hirano,K. Tai,S. Yamaguchi,T. Kato,Y. Hagimoto,K. Watanabe,R. Yamamoto,S. Kanda,K. Nagano,S. Terauchi,Y. Tateshita,Y. Tagawa,M. Saito,H. Iwamoto,S. Yoshida,H. Watanabe,N. Nagashima,S. Kadomura,Digest of Technical Papers 2006 Symposium on VLSI Technilogy,2006年06月
  • Mechanism of Fermi-Level Pinning for n-like Metal Silicides on Hf-based Gate Dielectrics,K. Shiraishi,H. Takeuchi,Y. Akasaka,H. Watanabe,N. Umezawa,T. Chikyow,Y. Nara,T.-J. King Liu,K. Yamada,Proceedings of 2006 IEEE Si Nanoelectronics Workshop,2006年06月
  • Extensive Studies for Effects of Nitrogen Incorporation into Hf-based High-k Gate Dielectrics,N. Umezawa,K. Shiraishi,H. Watanabe,K. Torii,Y. Akasaka,S. Inumiya,M. Boero,A. Uedono,S. Miyazaki,T. Ohno,T. Chikyow,K. Yamabe,Y. Nara,K. Yamada,Vol. 2,No. 1,p. 63-78,2006年05月,研究論文(学術雑誌)
  • New Theory of Effective Workfunctions at Metal/High-k Dielectric Interfaces -Application to Metal/High-k HfO2 and La2O3 Dielectric Interfaces- (INVITED),K. Shiraishi,T. Nakayama,Y. Akasaka,S. Miyazaki,T. Nakaoka,K. Ohmori,P. Ahmet,K. Torii,H. Watanabe,T. Chikyow,Y. Nara,H. Iwai,K. Yamada,209th Electrochemical Society Meeting, 2006, Denver,Vol. 2,No. 1,p. 25-40,2006年05月,研究論文(国際会議プロシーディングス)
  • Extensive Studies for the Effect of Nitrogen Incorporation into Hf-based High-k Gate Dielectrics (INVITED),N. Umezawa,K. Shiraishi,H. Watanabe,K. Torii,Y. Akasaka,S. Inumiya,M. Boero,A. Uedono,S. Miyazaki,T. Ohno,T. Chikyow,K. Yamabe,Y. Nara,K. Yamada,209th Electrochemical Society Meeting, 2006, Denver,Vol. 2,No. 1,p. 63-78,2006年05月,研究論文(国際会議プロシーディングス)
  • Characterization of epitaxial si films grown by atmospheric pressure plasma chemical vapor deposition using cylindrical rotary electrode,Kiyoshi Yasutake,Hiromasa Ohmi,Hiroaki Kakiuchi,Takuya Wakamiya,Heiji Watanabe,Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers,Vol. 45,No. 4 B,p. 3592-3597,2006年04月25日,研究論文(学術雑誌)
  • Thermal degradation of HfSiON dielectrics caused by TiN gate electrodes and its impact on electrical properties,Heiji Watanabe,Shiniti Yoshida,Yasumasa Watanabe,Takayoshi Shimura,Kiyoshi Yasutake,Yasushi Akasaka,Yasuo Nara,Kunio Nakamura,Keisaku Yamada,Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers,Vol. 45,No. 4 B,p. 2933-2938,2006年04月25日,研究論文(学術雑誌)
  • Analysis of origin of threshold voltage change induced by impurity in fully silicided NiSi/SiO2 gate stacks,Kenzo Manabe,Kensuke Takahashi,Takashi Hase,Nobuyuki Ikarashi,Makiko Oshida,Toru Tatsumi,Hirohito Watanabe,Heiji Watanabe,Kiyoshi Yasutake,Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers,Vol. 45,No. 4 B,p. 2919-2924,2006年04月25日,研究論文(学術雑誌)
  • Unique behavior of F-centers in high-k Hf-based oxides,N. Umezawa,K. Shiraishi,T. Ohno,M. Boero,H. Watanabe,T. Chikyow,K. Torii,K. Yamabe,K. Yamada,Y. Nara,Physica B: Condensed Matter,Elsevier BV,Vol. 376-377,p. 392-394,2006年04月,研究論文(学術雑誌)
  • Application of Synchrotron X-ray Diffraction Methods to Thin Film Materials used in Semiconductor Devices,Takayoshi Shimura,Eiji Mishima,Heiji Watanabe,Kiyoshi Yasutake,Extended Abstract of International Meeting for Future of Electron Devices, Kansai,2006年04月
  • A new theory of the Schottky barrier heights at metal/metal oxide interfaces based on the first principles calculations (INVITED),K. Shiraishi,T. Nakayama,Y. Akasaka,S. Miyazaki,T. Nakaoka,K. Ohmori,P. Ahmet,K. Torii,H. Watanabe,T. Chikyow,Y. Nara,H. Iwai,K. Yamada,Abstracts of Computational Science Workshop,2006年04月
  • Surface Cleaning and Etching of 4H-SiC(0001) using Atmospheric Pressure Hydrogen Plasma,Heiji Watanabe,Shigenari Okada,Hiromasa Ohmi,Hiroaki Kakiuchi,Kiyoshi Yasutake,Materials Research Society (MRS) Spring Meeting, 2006, San Francisco, CA.,2006年04月
  • Novel Charge Neutralization Techniques Applicable to Wide Current Range of FIB Processing in FIB-SEM Combined System,Hirotaka Komoda,Masaaki Yoshida,Yoh Yamamoto,Kouji Iwasaki,Ikuko Nakatani,Heiji Watanabe,Kiyoshi Yasutake,Microelectronics ReliabilityJournal (in press).,2006年04月,研究論文(学術雑誌)
  • Theoretical Investigation of the Interface between Hf-based High-k Dielectrics and Poly-Si and Metal gates (INVITED),K. Shiraishi,T. Nakayama,Y. Akasaka,S. Miyazaki,T. Nakaoka,K. Ohmori,P. Ahmet,K. Torii,H. Watanabe,T. Chikyow,Y. Nara,K. Yamada,ECS-SEMI International Semiconductor Technology Conference, 2006, Shanghai.,Vol. PV 2006-03,p. 320-329,2006年03月,研究論文(国際会議プロシーディングス)
  • Si熱酸化膜中の残留秩序構造と絶縁膜/Si界面反応研究への応用,志村考功,三島永嗣,渡部平司,安武 潔,梅野正隆,辰村光介,渡邉孝信,大泊 巌,ゲートスタック研究会 -材料・プロセス・評価の物理-(第11回研究会),2006年02月
  • メタル電極形成条件がMetal/HfSiON界面反応と電気特性に及ぼす影響,吉田慎一,渡辺康匡,喜多祐起,志村考功,渡部平司,安武潔,赤坂泰志,奈良安雄,白石賢二,山田啓作,ゲートスタック研究会-材料・プロセス・評価の物理-(第11回研究会),2006年02月
  • NiSiフルシリサイド/SiO2ゲートスタックにおける不純物によるしきい値変化メカニズム,間部謙三,長谷卓,五十嵐信行,忍田真希子,辰巳徹,渡部平司,安武潔,渡辺啓仁,ゲートスタック研究会-材料・プロセス・評価の物理-(第11回研究会),2006年02月
  • Ⅶ、ⅧおよびⅠB族金属材料のpMOS用メタルゲート適用検討,中村源治志,赤坂泰志,渡部平司,大塚文雄,奈良安雄,ゲートスタック研究会-材料・プロセス・評価の物理-,2006年02月
  • 走査型容量顕微鏡によるHf系ゲート絶縁膜の誘電特性の空間分布,内藤裕一,安藤敦,小木曽久人,神山聡,奈良安雄,中村邦雄,渡部平司,安武潔,ゲートスタック研究会-材料・プロセス・評価の物理-(第11回研究会),2006年02月
  • 酸素中性ビーム粒子を用いた極薄酸化膜の形成,田口智啓,生駒篤亨,福田誠一,遠藤和彦,渡部平司,寒川誠二,ゲートスタック研究会-材料・プロセス・評価の物理-(第11回研究会),2006年02月
  • 金属電極/High-k絶縁膜キャパシタのフラットバンド電圧特性に与える仕事関数変調及び熱処理の影響,大毛利健治,Parhat Ahmet,白石賢二,渡部平司,赤坂泰志,山部紀久夫,吉武道子,K.-S. Chang,M.L.Green,山田啓作,知京豊裕,ゲートスタック研究会-材料・プロセス・評価の物理-(第11回研究会),2006年02月
  • Oxidation saturation of SiGe alloy on silicon-on-insulator wafers,T. Shimura,M. Shimizu,S. Horiuchi,H. Watanabe,K. Yasutake,ECS Transactions,Vol. 3,No. 7,p. 1033-1037,2006年,研究論文(国際会議プロシーディングス)
  • Physics of metal/high-k interfaces,Takashi Nakayama,Kenji Shiraishi,Seiichi Miyazaki,Yasushi Akasaka,Takashi Nakaoka,Kazuyoshi Torii,Akio Ohta,Parhat Ahmet,Kenji Ohmori,Naoto Umezawa,Heiji Watanabe,Toyohiro Chikyow,Yasuo Nara,Hiroshi Iwai,Keisaku Yamada,ECS Transactions,Vol. 3,No. 3,p. 129-140,2006年,研究論文(国際会議プロシーディングス)
  • Wide controllability of flatband voltage in la2O3 gate stack structures - Remarkable advantages of la2O3 over HfO2 -,K. Ohmori,P. Ahmet,K. Shiraishi,K. Yamabe,H. Watanabe,Y. Akasaka,N. Umezawa,K. Nakajima,M. Yoshitake,T. Nakayama,K. S. Chang,K. Kakushima,Y. Nara,M. L. Green,H. Iwai,K. Yamada,T. Chikyow,ECS Transactions,Vol. 3,No. 3,p. 351-362,2006年,研究論文(国際会議プロシーディングス)
  • Self-limiting oxidation of SiGe alloy on silicon-on-insulator wafers,Takayoshi Shimura,Michihiro Shimizu,Shinichiro Horiuchi,Heiji Watanabe,Kiyoshi Yasutake,Masataka Umeno,Applied Physics Letters,Vol. 89,No. 11,2006年,研究論文(学術雑誌)
  • Self-limiting oxidation of SiGe alloy on silicon-on-insulator wafers,Takayoshi Shimura,Michihiro Shimizu,Shinichiro Horiuchi,Heiji Watanabe,Kiyoshi Yasutake,Masataka Umeno,Applied Physics Letters,Vol. 89,No. 11,2006年,研究論文(学術雑誌)
  • Wide Controllability of Flatband Voltage in La2O3 Gate Stack Structures –Remarkable Advantages of La2O3 ove HfO2-,K. Ohmori,P. Ahmet,K. Shiraishi,K. Yamabe,H. Watanabe,Y. Akasaka,N. Umezawa,K. Nakajima,M. Yoshitake,T. Nakayama,K.-S. Chang,K. Kakushima,Y. Nara,M.L. Green,H. Iwai,K. Yamada,T. Chikyow,Abstracts of Meeting of 210th Meeting of The Electrochemical Society,Vol. 3,No. 3,p. 351-362,2006年,研究論文(国際会議プロシーディングス)
  • Physics of metal/high-k interfaces,Takashi Nakayama,Kenji Shiraishi,Seiichi Miyazaki,Yasushi Akasaka,Takashi Nakaoka,Kazuyoshi Torii,Akio Ohta,Parhat Ahmet,Kenji Ohmori,Naoto Umezawa,Heiji Watanabe,Toyohiro Chikyow,Yasuo Nara,Hiroshi Iwai,Keisaku Yamada,ECS Transactions,Vol. 3,No. 3,p. 129-140,2006年,研究論文(国際会議プロシーディングス)
  • Ge nuclei for fabrication of poly-Si thin films on glass substrates,K. Yasutake,H. Watanabe,H. Ohmi,H. Kakiuchi,ECS Transactions,Vol. 3,No. 8,p. 215-225,2006年,研究論文(国際会議プロシーディングス)
  • Self-limiting oxidation of SiGe alloy on silicon-on-insulator wafers,Takayoshi Shimura,Michihiro Shimizu,Shinichiro Horiuchi,Heiji Watanabe,Kiyoshi Yasutake,Masataka Umeno,Applied Physics Letters,Vol. 89,No. 11,2006年,研究論文(学術雑誌)
  • Wide controllability of flatband voltage in la2O3 gate stack structures - Remarkable advantages of la2O3 over HfO2 -,K. Ohmori,P. Ahmet,K. Shiraishi,K. Yamabe,H. Watanabe,Y. Akasaka,N. Umezawa,K. Nakajima,M. Yoshitake,T. Nakayama,K. S. Chang,K. Kakushima,Y. Nara,M. L. Green,H. Iwai,K. Yamada,T. Chikyow,ECS Transactions,Vol. 3,No. 3,p. 351-362,2006年,研究論文(国際会議プロシーディングス)
  • Low-temperature Growth of Epitaxial Silicon films by Atmospheric Pressure Plasma Chemical Vapor Deposition,Hiromasa Ohmi,Hiroaki Kakiuchi,Naotaka Tawara,Takuya Wakamiya,Takayoshi Shimura,Heiji Watanabe,Kiyoshi Yasutake,Proceedings of the 6th ICRP and 23rd SPP,2006年01月,研究論文(国際会議プロシーディングス)
  • Characterization of high pressure (200-760Torr), stable glow plasma of pure hydrogen by measuring etching properties of Si and optical emission spectroscopy,Hiromasa Ohmi,Hiroaki Kakiuchi,Yoshiki Ogiyama,Heiji Watanabe,Kiyoshi Yasutake,Proceedings of the 6th ICRP and 23rd SPP,2006年01月,研究論文(国際会議プロシーディングス)
  • low temperature crystallization of amorphous silicon by atmospheric pressure plasma treatment in H2/He or H2/Ar mixtures,Hiromasa Ohmi,Hiroaki Kakiuchi,Kenichi Nishijima,Heiji Watanabe,Kiyoshi Yasutake,Proceedings of the 6th ICRP an 23rd SPP,2006年01月,研究論文(国際会議プロシーディングス)
  • 金属/Hf系高誘電率絶縁膜界面の統一理論 -ゲート金属の設計指針-,白石賢二,赤坂泰志,宮崎誠一,中山隆史,中岡高司,中村源治,鳥居和功,太田晃生,Parhat Ahmet,大毛利健治,渡部平司,知京豊裕,Martin Green,奈良安雄,山田啓作,応用物理学会シリコンテクノロジー分科会研究集会 兼 電子情報通信学会シリコンデバイス・材料研究会,一般社団法人電子情報通信学会,Vol. 105,No. 541,p. 29-32,2006年01月
  • Influences of Annealing Conditions on Flatband Voltage Properties Using Continuously,Kenji Ohmmori,Parhat Ahmet,Kenji Shiraishi,Heiji Watanabe,Yasushi Akasaka,Kikuo Yamabe,King,S. Chang,Martin G. Green,Keisaku Yamada,Toyohiro Chikyow,International Workshop on Nano CMOS, 2006, Mishima, Shizuoka.,p. 160-162,2006年01月,研究論文(国際会議プロシーディングス)
  • New findings in nano-scale interface physics and their relations to nano-CMOS technologies,K. Shiraishi,Y. Akasaka,K. Torii,T. Nakayama,S. Miyazaki,T. Nakaoka,H. Watanabe,K. Ohmori,P. Ahmet,T. Chikyow,Y. Nara,K. Yamada,2006 International Workshop on Nano CMOS - Proceedings, IWNC,p. 180-208,2006年,研究論文(国際会議プロシーディングス)
  • Universal theory of Workfunctions at Metal/Hf-based High-k Dielectrics Interfaces -Guiding principles for gate metal selection-,K. Shiraishi,Y. Akasaka,S. Miyazaki,T. Nakayama,T. Nakaoka,G. Nakamura,K. Torii,H. Furutou,A. Ohta,P. Ahmet,K. Ohmori,H. Watanabe,T. Chikyow,M. L. Green,Y. Nara,K. Yamada,Technical Digest of International Electron Devices Meeting, 2005, Washington DC, pp.2.5.1-4.,Vol. 2005,p. 39-42,2005年12月,研究論文(国際会議プロシーディングス)
  • Influence of Continuous Work Function Variation on Electric Properties by Combinatorial Materials Deposition Method,K. Ohmori,P. Ahmet,D. Kukuruznyak,T. Nagata,K. Nakajima,K. Shiraishi,K. Yamabe,H. Watanabe,K. Yamada,G. Richter,T. Wagner,C. Chang,M. Green,T. Chikyow,Abstracts of 36th IEEE Semiconductor Interface Specialists Conference, 2005, Arlington, VA.,2005年12月
  • Effects of Intrinsic and Extrinsic Reactions at Metal/High-k Interfaces on Electrical Properties of Gate Stacks,H. Watanabe,S. Yoshida,Y. Watanabe,E. Mishima,K. Kawamura,Y. Kita,T. Shimura,K. Yasutake,Y. Akasaka,Y. Nara,K. Shiraishi,K. Yamada,Abstracts of 36th IEEE Semiconductor Interface Specialists Conference, 2005, Arlington, VA.,2005年12月
  • Antistatic technique for suppressing charging in focused ion beam systems using microprobing and ion-beam-assisted deposition,Hirotaka Komoda,Ikuko Nakatani,Heiji Watanabe,Kiyoshi Yasutake,Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers,Vol. 44,No. 11,p. 7907-7909,2005年11月09日,研究論文(学術雑誌)
  • Mapping of the local dielectric properties of Hf-based high-k films by scanning capacitance microscopy,Y. Naitou,A. Ando,H. Ogiso,S. Kamiyama,Y. Nara,K. Nakamura,H. Watanabe,K. Yasutake,Abstracts of International Symposium on Surface Science and Nanotechnology, p.133.,2005年11月
  • 次世代MOSFETゲート絶縁膜のナノスケール評価と新プロセス提案,渡部平司,第9回関西半導体解析技術研究会,2005年11月
  • シリコン系薄膜の大気圧プラズマCVDおよびエピタキシャル成長,安武潔,垣内弘章,大参宏昌,渡部平司,薄膜材料デバイス研究会第2回研究集会「低温プロセスの再発見」アブストラクト集(2005),2005年11月
  • Ordered Structure in the Thermal Oxide Layer on Silicon Substrates,Takayoshi Shimura,Eiji Mishima,Heiji Watanabe,Kiyoshi Yasutake,Masataka Umeno,Kousuke Tatsumura,Takanobu Watanabe,Iwao Ohdomari,Keisaku Yamada,Satoshi Kamiyama,Yasushi Akasaka,Yasuo Nara,Kunio Nakamura,Physics and Chemistry of SiO$_2$ and the Si-SiO$_2$ Interface 5,2005年10月
  • High-Rate Growth of Defect-Free Epitaxial Si at Low Temperatures by Atmoshperis Pressure Plasma CVD,Takuya Wakamiya,Hiromasa Ohmi,Hiroaki Kakiuchi,Heiji Watanabe,Kiyoshi Yasutake,Kumayasu Yoshii,Yuso Mori,Extended Abstracts of the 2005 International Conference on Solid State Devices and Materials,2005年09月
  • Thermal Degradation of HfSiON Dielectrics Caused by TiN Gate Electrodes and Its Impact on Electrical Properties,Heiji Watanabe,Shiniti Yoshida,Yasumasa Watanabe,Takayoshi Shimura,Kiyoshi Yasutake,Yasushi Akasaka,Yasuo Nara,Kunio Nakamura,Keisaku Yamada,Extended Abstracts of the 2005 International Conference on Solid State Devices and Materials,Vol. 45,No. 4,p. 2933-2938,2005年09月
  • 高誘電率ゲート絶縁膜開発の現状,渡部平司,生産と技術,2005年07月,研究論文(学術雑誌)
  • Negative-U Behavior in the Complex of an O Vacancy and a Metal Impurity in High-k Dielectrics HfO2,K. Shiraishi,T. Nakayama,S. Miyazaki,K.Torii,Y. Akasaka,H. Watanabe,T. Chikyow,K. Yamada,Y. Nara,The 23rd International Conference on Defects in Semiconductors,2005年07月
  • Unique Behavior of F-Centers in High-k Hf-based Oxides,Naoto Umezawa,Kenji Shiraishi,Takahisa Ohno,Mauro Boero,Heiji Watanabe,Toyohiro Chikyow,Kazuyoshi Torii,Kikuo Yamabe,Keisaku Yamada,Yasuo Nara,The 23rd International Conference on Defects in Semiconductors,2005年07月
  • First-principles studies of the intrinsic effect of nitrogen atoms on reduction in gate leakage current through Hf-based high-k dielectrics,N. Umezawa,K. Shiraishi,T. Ohno,H. Watanabe,T. Chikyow,K. Torii,K. Yamabe,K. Yamada,H. Kitajima,T. Arikado,Applied Physics Letters,AIP Publishing,Vol. 86,No. 14,p. 143507-143507,2005年04月04日,研究論文(学術雑誌)
  • High-mobility dual metal gate MOS transistors with high-k gate dielectrics,Kensuke Takahashi,Kenzo Manabe,Ayuka Morioka,Taeko Ikarashi,Takuya Yoshihara,Heiji Watanabe,Toru Tatsumi,Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers,Vol. 44,No. 4 B,p. 2210-2213,2005年04月,研究論文(国際会議プロシーディングス)
  • Fully silicided NiSi gate electrodes on HfSiON gate dielectrics for low-power applications,Kenzo Manabe,Kensuke Takahashi,Taeko Ikarashi,Ayuka Morioka,Heiji Watanabe,Takuya Yoshihara,Toru Tatsumi,Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers,Vol. 44,No. 4 B,p. 2205-2209,2005年04月,研究論文(国際会議プロシーディングス)
  • 1.2 nm HfSiON/SiON stacked gate insulators for 65-nm-Node MISFETs,Motofumi Saitoh,Masayuki Terai,Nobuyuki Ikarashi,Heiji Watanabe,Shinji Fujieda,Toshiyuki Iwamoto,Takashi Ogura,Ayuka Morioka,Koji Watanabe,Toru Tatsumi,Hirohito Watanabe,Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers,Vol. 44,No. 4 B,p. 2330-2335,2005年04月,研究論文(国際会議プロシーディングス)
  • Intrinsic Effect of a Nitrogen Atom on Hf-based High-k Gate Dielectrics -A First Principles Study-,Naoto Umezawa,Kenji Shiraishi,Takahisa Ohno,Heiji Watanabe,Toyohiro Chikyow,Kazuyoshi Torii, Kikuo,American Physical Society (APS) March Meeting 2005,2005年03月
  • Formation of Crystalline Ge Islands on Glass Substratesfor Growth of Large-Grained Polycrystalline Si Thin Films,K. Yasutake,H. Watanabe,H. Ohmi,H. Kakiuchi,S. Koyama,D. Nakajima,K. Minami,Proceedings of Thin Film Materials & Devices Meeting, Nov.12-13, 2004, Nara-Shi Asunara Conference Hall, pp.19-24,2005年02月,研究論文(国際会議プロシーディングス)
  • Spatial fluctuation of dielectric properties in Hf-based high- k gate films studied by scanning capacitance microscopy,Y. Naitou,A. Ando,H. Ogiso,S. Kamiyama,Y. Nara,K. Nakamura,H. Watanabe,K. Yasutake,Applied Physics Letters,Vol. 87,No. 25,p. 1-3,2005年,研究論文(学術雑誌)
  • Role of nitrogen incorporation into Hf-based high-&amp; gate dielectrics for termination of local current leakage paths,Heiji Watanabe,Satoshi Kamiyama,Naoto Umezawa,Kenji Shiraishi,Shiniti Yoshida,Yasumasa Watanabe,Tsunetoshi Arikado,Toyohiro Chikyow,Keisaku Yamada,Kiyoshi Yasutake,Japanese Journal of Applied Physics, Part 2: Letters,Vol. 44,No. 42-45,p. L1333-L1336,2005年,研究論文(学術雑誌)
  • The role of nitrogen incorporation in Hf-based high-k dielectrics: Reduction in electron charge traps,Naoto Umezawa,Kenji Shiraishi,Kazuyoshi Torii,Mauro Boero,Toyohiro Chikyow,Heiji Watanabe,Kikuo Yamabe,Takahisa Ohno,Keisaku Yamada,Yasuo Nara,Proceedings of ESSDERC 2005: 35th European Solid-State Device Research Conference,Vol. 2005,p. 201-204,2005年,研究論文(国際会議プロシーディングス)
  • Charge neutralization using focused 500 eV electron beam in focused ion beam system,Hirotaka Komoda,Masaaki Yoshida,Yoh Yamamoto,Kouji Iwasaki,Heiji Watanabe,Kiyoshi Yasutake,Japanese Journal of Applied Physics, Part 2: Letters,Vol. 44,No. 16-19,p. L515-L517,2005年,研究論文(学術雑誌)
  • HfSiON膜中の局所絶縁劣化箇所のC-AFM観測-窒化による信頼性向上メカニズムの検討-,渡辺康匡,志村考功,渡部平司,安武潔,神山聡,有門経敏,白石賢二,梅澤直人,知京豊裕,山田啓作,ゲートスタック研究会(第10回特別研究会)講演予稿集 p.327-331.,2005年01月
  • コンビナトリアル成膜Pt-Wメタルゲート電極の仕事関数マッピング,吉田慎一,渡部平司,安武潔,Ahmet Parhat,知京豊裕,山田啓作,ゲートスタック研究会(第10回特別研究会)講演予稿集 p.271-274.,2005年01月
  • ニッケルフルシリサイド電極のHfSiONゲート絶縁膜への適用,間部謙三,高橋健介,五十嵐多恵子,森岡あゆ香,渡部平司,辰巳徹,ゲートスタック研究会(第10回特別研究会)講演予稿集 p.231-236.,2005年01月
  • デュアルメタル電極/HfSiOゲート絶縁膜MOSトランジスタの作製と電気特性の評価,高橋健介,間部謙三,森岡あゆ香,五十嵐多恵子,吉原拓也,渡部平司,辰巳徹,ゲートスタック研究会(第10回特別研究会)講演予稿集 p.221-225.,2005年01月
  • Hfを基礎としたHigh-kゲート絶縁膜のリーク電流を減少させるN原子の本質的な効果:酸素原子空孔に起因するリークパスを遮断するN原子の役割,梅澤直人,白石賢二,大野隆央,渡部平司,知京豊裕,鳥居和功,山部紀久夫,山田啓作,北島洋,有門経敏,ゲートスタック研究会(第10回特別研究会)講演予稿集 p.115-119.,2005年01月
  • 高誘電率(High-k)膜形成技術の現状と今後の展望,渡部平司,第4回インテリジェント・ナノプロセス研究会予稿原稿p.47-55.,2004年12月
  • Dual Workfunction Ni-Silicide/HfSiON Gate Stacks by Phase-Controlled Full-Silicidation (PC-FUSI) Technique for 45nm-node LSTP and LOP Devices,K. Takahashi,K. Manabe,T. Ikarashi,N. Ikarashi,T. Hase,T. Yoshihara,H. Watanabe,T. Tatsumi,Y. Mochizuki,Technical Digest of International Electron Devices Meeting, San Francisco, CA,2004年12月
  • Intrinsic Effects of a Nitrogen Atom for Reduction in Leakage Current through Hf-based High-k Gate Dielectrics -Nitrogen Induced Atomistic Cutoff of O Vacancy Mediated Leakage Paths-,N. Umezawa,K. Shiraishi,T. Ohno,H. Watanabe,T. Chikow,K. Torii,K. Yamabe,K. Yamada,H. Kitajima,T. Arikado,Extended Abstracts of 35th IEEE Semiconductor Interface Specialists Conference, San Diego, CA,2004年12月
  • Size and Density Control of Crystalline Ge Islands on Glass Substrates by Oxygen Etching,Kiyoshi YASUTAKE,Hiromasa OHMI,Hiroaki KAKIUCHI,Heiji WATANABE,Kumayasu YOSHII,Yuzo MORI,Jpn. J. Appl. Phys. Vol.43 (2004) No.12A pp.L1552-L1554,2004年12月,研究論文(学術雑誌)
  • Origin of flatband voltage shift in poly-Si/Hf-bsed high-k gate dielectrics and flatband voltage dependence on gate stack structure,Makoto Miyamura,Koji Masuzaki,Heiji Watanabe,Nobuyuki Ikarashi,Toru Tatsumi,Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers,Vol. 43,No. 11 B,p. 7843-7847,2004年11月,研究論文(国際会議プロシーディングス)
  • 大粒径多結晶Si薄膜作製のためのGe微結晶核を利用した基板表面制御,小山晋,中嶋大貴,南綱介,大参宏昌,渡部平司,安武潔,森勇藏,薄膜材料デバイス研究会第1回研究会「TFTのすべて」アブストラクト集(2004) p.36, p.67,2004年11月
  • Fully Silicided NiSi Gates on HfSiON Gate Dielectrics for Low Power Application,Kenzo Manabe,Kensuke Takahashi,Ayuka Morioka,Heiji Watanabe,Takuya Yoshihara,Toru Tatsumi,Ext. Abst. Int. Conf. on Solid State Devices and Materials, 2004, Tokyo, pp.18-19.,2004年09月
  • 1.2nm HfSiON/SiON Stacked Gate Insulators for 65nm-node MISFETs,Motofumi Saitoh,Nobuyuki Ikarashi,Heiji Watanabe,Shinji Fujieda,Hirohito Watanabe,Toshiyuki Iwamoto,Ayuka Morioka,Takashi Ogura,Masayuki Terai,Koji Watanabe,Makoto Miyamura,Toru Tataumi,Taeko Ikarashi,Koji Masuzaki,Yukishige Saito,Yuko Yabe,Ext. Abst. Int. Conf. on Solid State Devices and Materials, 2004, Tokyo, pp.38-39.,Vol. 2004,p. 38-39,2004年09月
  • High Mobility Dual Metal Gate MOS Transisotrs with High-k Gate Dielectrics,Kensuke Takahashi,Kenzo Manabe,Ayuka Morioka,Taeko Ikarashi,Takuya Yoshihara,Heiji Watanabe,Toru Tatsumi,Ext. Abst. Int. Conf. on Solid State Devices and Materials, 2004, Tokyo, pp.22-23.,2004年09月
  • A 65nm-node LSTP (Low Standby Power) Poly-Si/a-Si/HfSiON Transistor with High Ion-Istandby Ratio and Reliability,Y. Yasuda,N. Kimizuka,T. Iwamoto,S. Fujieda,T. Ogura,H. Watanabe,T. Tatsumi,I. Yamamoto,K. Ito,H. Watanabe,Y. Yamagata,K. Imai,Tech. Digest Symposium on VLSI Technology, 2004, Hawaii, pp.40-41.,2004年06月
  • High-Quality HfSiO Gate Dielectrics Fabricated by Solid Phase Interface Reaction Between Physical-Vapor-Deposited Metal-Hf and SiO2 Underlayer,Heiji Watanabe,Motofumi Saito,Nobuyuki Ikarashi,Toru Tatsumi,Appl. Phys. Lett. 85 (2004) 449-451.,Vol. 85,No. 3,p. 449-451,2004年06月,研究論文(学術雑誌)
  • Origin of Flatband Voltage Shift in Poly-Si/Hf-based High-k Gate Dielectrics and Vfb Dependence on Gate Stack Structure,Makoto Miyamura,Masakazu Masuzaki,Heiji Watanabe,Nobuyuki Ikarashi,Toru Tatsumi,Ext. Abst. of International Workshop on Dielectric Thin Films for Future ULIS Devices - Science and Technology, 2004, Tokyo, pp.13-14.,2004年05月
  • High Quality HfSixOy Gate Dielectrics Fabricated by Solid Phase Reaction Between Metal Hf and SiO2 Underlayer,Heiji Watanabe,Motofumi Saitoh,Nobuyuki Ikarashi,Toru Tatsumi,Abst. of Material Research Society Spring Meeting, 2004, San Francisco, pp.101.,2004年04月
  • A Highly Manufacturable Low Power and High Speed HfSiO CMOS FET with Dual Poly-Si Gate Electrodes,Toshiyuki Iwamoto,Takashi Ogura,Masayuki Terai,Hirohiro Watanabe,Heiji Watanabe,Nobuyuki Ikarashi,Makoto Miyamura,Toru Tatsumi,Motofumi Saitoh,Ayuka Morioka,Koji Watanabe,Yukishige Saito,Yuko Yabe,Taeko Ikarashi,Koji Masuzaki,Yukinori Mochizumi,Tohru Mogami,Tech. Digest Int. Electron Devices Meeting, 2003, Washington DC, pp.639-642.,2003年12月
  • Roughness at ZrO2/Si interfaces induced by accelerated oxidation due to the metal oxide overlayer,Heiji Watanabe,Applied Physics Letters,Vol. 83,No. 20,p. 4175-4177,2003年11月17日,研究論文(学術雑誌)
  • La-silicate gate dielectrics fabricated by solid phase reaction between La metal and SiO2 underlayers,Heiji Watanabe,Nobuyuki Ikarashi,Fuminori Ito,Applied Physics Letters,Vol. 83,No. 17,p. 3546-3548,2003年10月27日,研究論文(学術雑誌)
  • High Mobility MISFET with Low Trapped Charge in HfSiO Films,Ayuka Morioka,Hirohito Watanabe,Makoto Miyamura,Toru Tatsumi,Motofumi Saito,Takashi Ogura,Toshiyuki Iwamoto,Taeko Ikarashi,Yukishige Saito,Yuko Okada,Heiji Watanabe,Yasunori Mochizuki,Tohru Mogami,Tech. Digest Symposium on VLSI Technology, 2003, Kyoto, pp.165-166.,p. 165-166,2003年01月
  • Ultrathin zirconium silicate gate dielectrics with compositional gradation formed by self-organized reactions,Heiji Watanabe,Applied Physics Letters,Vol. 81,No. 22,p. 4221-4223,2002年11月25日,研究論文(学術雑誌)
  • Ultrathin Zr Silicate Gate Dielectrics with Compositional Gradation,Heiji Watanabe,Ext. Abst. Int. Conf. on Solid State Devices and Materials, 2002, Nagoya, pp.60-61.,2002年09月
  • ZrO2/SiO2/Si界面制御とゲート絶縁膜への応用,渡部平司,Proc. the 62nd Symposium on Semiconductors and Integrated Circuits Technology, 2002, Tokyo, pp.13-18.,2002年06月,研究論文(学術雑誌)
  • 2次電子像におけるSi(001)-2x1表面のドメインコントラストの起源,渡部平司,市川昌和,川村隆明,日本学術振興会第141委員会第107研究会資料、pp.46-51.,2002年03月,研究論文(学術雑誌)
  • Thermal decomposition of ZrO2/SiO2 bilayer on Si(001) caused by void nucleation and its lateral growth,Heiji Watanabe,Nobuyuki Ikarashi,Applied Physics Letters,Vol. 80,No. 4,p. 559-561,2002年01月28日,研究論文(学術雑誌)
  • Thermal Decomposition of ZrO2/SiO2 Bilayer on Si(001),Heiji Watanabe,Proc. Int. Symposium on Atomic Level Characterization for New Materials and Devices, 2001, Nara, pp.367-370.,2001年11月
  • Interfacial Reactions of ZrO2/SiO2/Si Layered Structures,Heiji Watanabe,Ext. Abst. Int. Conf. on Solid State Devices and Materials, 2001, Tokyo, pp.492-493.,2001年09月
  • Interface engineering of a ZrO2/SiO2/Si layered structure by in situ reoxidation and its oxygen-pressure-dependent thermal stability,Heiji Watanabe,Applied Physics Letters,Vol. 78,No. 24,p. 3803-3805,2001年06月11日,研究論文(学術雑誌)
  • Dependence of Thermal Stability of ZrO2/SiO2/Si Layered Structure on Ambient Oxygen,Heiji Watanabe,Abst. Electronic Materials Conference, 2001, Indiana, pp.25.,2001年06月
  • Origin of the Domain Contrast on a Si(001)-2x1 Surface Imaged by Secondary Electrons,Heiji Watanabe,Masakazu Ichikawa,Takaaki Kawamura,Appl. Phys. Lett. 78 (2001) 1255-1257.,2001年02月,研究論文(学術雑誌)
  • Layer-by-layer oxidation of Si(001) surfaces,Watanabe H,Miyata N,Ichikawa M,Fundamental Aspects of Silicon Oxidation,Vol. 46,p. 89-105,2001年
  • シリコン表面の原子層単位の酸化反応,渡部平司,宮田典幸,市川昌和,日本物理学会誌、2000年11月号、pp.846-853.,Vol. 55,No. 11,p. 846-853,2000年11月,研究論文(学術雑誌)
  • Initial Oxynitridation of a Si(001)-2x1 Surface by NO,Noriyuki Miyata,Heiji Watanabe,Masakazu Ichikawa,Material Research Society Fall Meeting, 2000, Boston MRS Sym. Proc. 592, pp.233-238.,2000年11月
  • Scanning reflection electron microscopy study of surface defects in GaN films formed by epitaxial lateral overgrowth,Heiji Watanabe,Naotaka Kuroda,Haruo Sunakawa,Akira Usui,Applied Physics Letters,American Institute of Physics Inc.,Vol. 77,No. 12,p. 1786-1788,2000年09月18日,研究論文(学術雑誌)
  • Selective growth of nanocrystalline Si dots using an ultrathin-Si-oxide/oxynitride mask,Noriyuki Miyata,Heiji Watanabe,Masakazu Ichikawa,Applied Physics Letters,American Institute of Physics Inc.,Vol. 77,No. 11,p. 1620-1622,2000年09月11日,研究論文(学術雑誌)
  • Crystallographic Structure of FIELO-GaN Films Studied by Scanning Reflection Electron Microscopy,Heiji Watanabe,Naotaka Kuroda,Haruo Sunakawa,Akita Usui,Proc. Int. Workshop on Nitride Semiconductors, 2000, Nagoya, pp.272-275.,2000年09月
  • Initial Oxynitridation of a Si(001)-2x1 Surface by NO,Noriyuki Miyata,Heiji Watanabe,Masakazu Ichikawa,Appl. Phys. Lett. 76 (2000) 3561-3563.,2000年06月,研究論文(学術雑誌)
  • シリコン表面のLayer-by-layer酸化,渡部平司,宮田典幸,市川昌和,表面科学、2000年4月号、pp.32-37.,Vol. 20,No. 4,p. 250-255,2000年04月,研究論文(学術雑誌)
  • Mechanism of Layer-by-Layer Oxidation of Si(001) Surfaces by Two-Dimensional Oxide-Island Nucleation at SiO2/Si Interfaces,Heiji Watanabe,Toshio Baba,Masakazu Ichikawa,Jpn. J. Appl. Phys. 39 (2000) 2015-202.,公益社団法人 応用物理学会,Vol. 39,No. 4,p. 2015-2020,2000年04月,研究論文(学術雑誌)
  • Nanometer-Scale Characterization of Layer-by-Layer Oxidation of Si Surfaces,Heiji Watanabe,Noriyuki Miyata,Masakazu Ichikawa,Proc. 3rd SANKEN Int. Symposium on Advanced Nanoelectronics: Devices, Materials and Computing, 2000, Osaka, pp.120-121.,2000年03月
  • Thermal decomposition of an ultrathin si oxide layer around a Si(001)- (2×1) window,Noriyuki Miyata,Heiji Watanabe,Masakazu Ichikawa,Physical Review Letters,Vol. 84,No. 5,p. 1043-1046,2000年01月31日,研究論文(学術雑誌)
  • Scanning tunneling microscopy and spectroscopy characterization of ion-beam-induced dielectric degradation in ultrathin SiO2 films and its thermal recovery process,Heiji Watanabe,Toshio Baba,Masakazu Ichikawa,Journal of Applied Physics,American Institute of Physics Inc.,Vol. 87,No. 1,p. 44-48,2000年01月01日,研究論文(学術雑誌)
  • Nanometer-Scale Characterization of Layer-by-Layer Oxidation of Si Surfaces and Local Dielectric Properties of Ultrathin SiO2 Films (INVITED),Heiji Watanabe,Toshio Baba,Masakazu Ichikw,International Symposium on Surface Science for Micro-and Nano-Devices Fabrication, 1999, Tokyo.,1999年11月
  • Layer-by-Layer Oxidation of Silicon Surfaces,Heiji Watanabe,Noriyuki Miyata,Masakazu Ichikawa,Material Research Society Spring Meeting, 1999, San Francisco, MRS Sym. Proc. 567, pp.189-200.,1999年11月
  • Initial Oxynitridation of Si(001)-2x1 Surface by Nitric Oxide: Interfacial Structure and Application for Si Selective Growth,Noriyuki Miyata,Heiji Watanabe,Masakazu Ichikawa,Ext. Abst. JRCAT Int. Symposium on Atom Technology, 1999, Tokyo, pp.151-154.,1999年11月
  • Local Dielectric Breakdown in Ultrathin SiO2 Films: Characterization by Scanning Tunneling Microscopy,Heiji Watanabe,Masakazu Ichikawa,Toshio Baba,NEC Research & Development 40 (1999) 410-413.,1999年10月,研究論文(学術雑誌)
  • Mechanism of Layer-by-Layer Oxidation of Si(001) Surfaces Proceeded by Two-Dimensional Oxide Island Nucleation at SiO2/Si Interfaces,Heiji Watanabe,Toshio Baba,Masakazu Ichikawa,Ext, Abst, Int. Conf. on Solid State Devices and Materials, 1999, Tokyo, pp.310-311.,1999年09月
  • Nanometer-Scale Si-Selective Epitaxial Growth Using Ultrathin SiO2 Mask,Noriyuki Miyata,Heiji Watanabe,Masakazu Ichikawa,Abst. Int. Joint Conf. on Silicon Epitaxy and Heterostructures, 1999, Miyagi, B-,1999年09月
  • Nanometer-Scale Characterization of Electrical Degradation in Ultrathin SiO2 Films Using a Scanning Probe Technique,Heiji Watanabe,Toshio Baba,Masakazu Ichikawa,Proc. Int. Conf. on Production Engineering, 1999, Osaka, pp.865-870.,1999年08月
  • Reflection high-energy electron diffraction intensity oscillation during layer-by-layer oxidation of Si(001) surfaces,Heiji Watanabe,Toshio Baba,Masakazu Ichikawa,Applied Physics Letters,American Institute of Physics Inc.,Vol. 74,No. 22,p. 3284-3286,1999年05月31日,研究論文(学術雑誌)
  • Nanometer-Scale Si-Selective Epitaxial Growth Using Ultrathin SiO2 Mask,Noriyuki Miyata,Heiji Watanabe,Masakazu Ichikawa,J. Vac. Sci. Technol. B17 (1999) 978-982.,1999年05月,研究論文(学術雑誌)
  • Characterization of local dielectric breakdown in ultrathin SiO2 films using scanning tunneling microscopy and spectroscopy,Heiji Watanabe,Toshio Baba,Masakazu Ichikawa,Journal of Applied Physics,American Institute of Physics Inc.,Vol. 85,No. 9,p. 6704-6710,1999年05月01日,研究論文(学術雑誌)
  • Nanometer-Scale Si Selective Growth Using Ultrathin SiO2 Mask,Noriyuki Miyata,Heiji Watanabe,Masakazu Ichikawa,Material Research Society Fall Meeting, 1998, Boston.,1998年11月
  • Nanometer-Scale Si Selective Epitaxial Growth Using Ultrathin SiO2 Mask,Noriyuki Miyata,Heiji Watanabe,Masakazu Ichikawa,Ext. Abst. JRCAT Int. Symposium on Atom Technology, 1998, Tokyo, pp.143-146.,1998年11月
  • Atomic-Scale Structure of SiO2/Si Interfaces Formed by Furnace Oxidation,Noriyuki Miyata,Heiji Watanabe,Masakazu Ichikawa,Phys. Rev. B58 (1998) 13670-13676.,1998年11月,研究論文(学術雑誌)
  • Nanometer Fabrication Using Selective Thermal Decomposition of SiO2 Induced by Focused Electron Beams and Electron Beam Interference Fringes,Shinobu Fujita,Shigemitsu Maruno,Heiji Watanabe,Masakazu Ichikawa,J. Vac. Sci. Technol. B16 (1998) 2817-2821.,1998年09月,研究論文(学術雑誌)
  • Scanning tunneling microscopy study on void formation by thermal decomposition of thin oxide layers on stepped Si surfaces,Ken Fujita,Heiji Watanabe,Masakazu Ichikawa,Journal of Applied Physics,American Institute of Physics Inc.,Vol. 83,No. 8,p. 4091-4095,1998年04月15日,研究論文(学術雑誌)
  • Scanning Tunneling Microscopy Study on the Surface and Interface of Si(111) / SiO2 Structures,Ken Fujita,Heiji Watanabe,Masakazu Ichikawa,J. Appl. Phys. 83 (1998) 3638-3642.,1998年04月,研究論文(学術雑誌)
  • Preservation of Atomic Flatness at SiO2/Si(111) Interface During Furnace Oxidation,Noriyuki Miyata,Heiji Watanabe,Masakazu Ichikawa,American Physical Society March Meeting, 1998, Los Angeles.,1998年03月
  • Observation and Creation of Current Leakage Sites in Ultrathin SiO2 Films Using Scanning Tunneling Microscopy,Heiji Watanabe,Masakazu Ichikawa,American Physical Society March Meeting, 1998, Los Angeles.,1998年03月
  • Nanometer Si Selective Homoepitaxial Growth Observed by Scanning Tunneling Microscopy,Ken Fujita,Heiji Watanabe,Masakazu Ichikawa,J. Crystal Growth 188 (1998) 197-204.,1998年02月,研究論文(学術雑誌)
  • Influence of the Initial Si Surface Structure on SiO2/Si(111) Interfaces and Thermal Decomposition of the Oxide Films,Heiji Watanabe,Masakazu Ichikawa,Surf. Sci. 408 (1998) 95-100.,1998年02月,研究論文(学術雑誌)
  • Observation and creation of current leakage sites in ultrathin silicon dioxide films using scanning tunneling microscopy,Heiji Watanabe,Ken Fujita,Masakazu Ichikawa,Applied Physics Letters,Vol. 72,No. 16,p. 1987-1989,1998年,研究論文(学術雑誌)
  • Atomic-scale structure of interface formed by furnace oxidation,Noriyuki Miyata,Heiji Watanabe,Masakazu Ichikawa,Physical Review B - Condensed Matter and Materials Physics,Vol. 58,No. 20,p. 13670-13676,1998年,研究論文(学術雑誌)
  • HF-chemical etching of the oxide layer near a SiO2/Si(111) interface,Noriyuki Miyata,Heiji Watanabe,Masakazu Ichikawa,Applied Physics Letters,Vol. 73,No. 26,p. 3923-3925,1998年,研究論文(学術雑誌)
  • Void formation during thermal decomposition of ultrathin oxide layers on the Si(110) surface,Ken Fujita,Heiji Watanabe,Masakazu Ichikawa,Surface Science,Elsevier,Vol. 398,No. 1-2,p. 134-142,1998年,研究論文(学術雑誌)
  • Observation and creation of current leakage sites in ultrathin silicon dioxide films using scanning tunneling microscopy,Heiji Watanabe,Ken Fujita,Masakazu Ichikawa,Applied Physics Letters,Vol. 72,No. 16,p. 1987-1989,1998年,研究論文(学術雑誌)
  • Preservation of atomic flatness at SiO2/Si(111) interfaces during thermal oxidation in a furnace,Noriyuki Miyata,Heiji Watanabe,Masakazu Ichikawa,Applied Physics Letters,Vol. 72,No. 14,p. 1715-1717,1998年,研究論文(学術雑誌)
  • Kinetics of Initial Layer-by-Layer Oxidation of Si(001) Surfaces,Heiji Watanabe,Koichi Kato,Tsuyoshi Uda,Ken Fujita,Masakazu Ichikawa,Takaaki Kawamura,Kiyoyuki Terakura,Physical Review Letters,Vol. 80,No. 2,p. 345-348,1998年,研究論文(学術雑誌)
  • Self-organized Ge clustering on partially Ga-terminated Si(111) surfaces,S. Maruno,S. Fujita,H. Watanabe,Y. Kusumi,M. Ichikawa,Journal of Applied Physics,American Institute of Physics Inc.,Vol. 83,No. 1,p. 205-211,1998年01月01日,研究論文(学術雑誌)
  • Electron-Beam-Induced Selective Thermal Decomposition of Ultrathin SiO2 Layers Used in Nanofabrication,Heiji Watanabe,Shinobu Fujita,Shigemitsu Maruno,Ken Fujita,Masakazu Ichikawa,Jpn. J. Appl. Phys. 36 (1997) 7777-7781.,公益社団法人 応用物理学会,Vol. 36,No. 12,p. 7777-7781,1997年12月,研究論文(学術雑誌)
  • Layer-by-Layer Sputtering of Si(111) and (001) Surfaces Mediated by Surface Vacancy Diffusion: Surface Physics and Application for Nanofabrication,Heiji Watanabe,Masakazu Ichikawa,J. Vac. Sci. Technol. B15 (1997) 2666-2671.,1997年11月,研究論文(学術雑誌)
  • Layer-by-Layer Oxidation of Si(111) Surfaces and Thermal Decomposition of the Ultrathin Oxide Layers,Heiji Watanabe,Ken Fujita,Masakazu Ichikawa,Abst. Int. Symposium on Atomically Controlled Surfaces and Interfaces, 1997, Tokyo, pp.185-186.,1997年10月
  • Oxidation of Partially Ga-Terminated Si(111) Surfaces,Shigemitsu Maruno,Shinobu Fujita,Heiji Watanabe,Masakazu Ichikawa,Surf. Sci. 377 (1997) 775-779.,1997年10月,研究論文(学術雑誌)
  • Layer-by-Layer Oxidation of Si(001) Surfaces,Heiji Watanabe,Ken Fujita,T. Kawamura,Masakazu Ichikawa,Ext. Abst. Int. Conf. Solid State Device and Materials, 1997, Hamamatsu, pp.538-539.,Vol. 39,No. 4,p. 2015-2020,1997年09月
  • Selective thermal decomposition of ultrathin silicon oxide layers induced by electron-stimulated oxygen desorption,Heiji Watanabe,Shinobu Fujita,Shigemitsu Maruno,Ken Fujita,Masakazu Ichikawa,Applied Physics Letters,American Institute of Physics Inc.,Vol. 71,No. 8,p. 1038-1040,1997年08月25日,研究論文(学術雑誌)
  • Observation of oxide/Si(001)-interface during layer-by-layer oxidation by scanning reflection electron microscopy,S. Fujita,H. Watanabe,S. Maruno,M. Ichikawa,T. Kawamura,Applied Physics Letters,American Institute of Physics Inc.,Vol. 71,No. 7,p. 885-887,1997年08月18日,研究論文(学術雑誌)
  • In-situ Monitoring of Nanoscale Si Selective Epitaxial Growth on Si Surfaces Using Scanning Tunneling Microscopy,Ken Fujita,Heiji Watanabe,Masakazu Ichikawa,International Conference on Chemical Beam Epitaxy, 1997, Switerland.,1997年08月
  • Observation of selective thermal desorption of electron stimulated SiO2 with a combined scanning reflection electron microscope/scanning tunneling microscope,S. Maruno,S. Fujita,H. Watanabe,M. Ichikawa,Journal of Applied Physics,American Institute of Physics Inc.,Vol. 82,No. 2,p. 639-643,1997年07月15日,研究論文(学術雑誌)
  • Observation and Fabrication of Si Nanostructures by Scanning Reflection Electron Microscopy,Masakazu Ichikawa,Shinobu Fujita,Shigemitsu Maruno,Heiji Watanabe,Ken Fujita,Proc. Int. Centennial Symposium on the Electron, 1997, Cambridge, pp.389-396.,1997年07月
  • Electron-Beam-Induced Selective Thermal Decomposition of Ultrathin SiO2 Layers Used in Nanofabrication,Heiji Watanabe,Shinobu Fujita,Shigemitsu Maruno,Ken Fujita,Masakazu Ichikawa,Digest Int. MicroProcess and Nanotechnology Conf., 1997, Nagoya, pp.12-13.,公益社団法人 応用物理学会,Vol. 36,No. 12,p. 7777-7781,1997年07月
  • Initial Oxidation of Si(111) and (001) Surfaces and Nanofabrication Using Oxide Layers,Heiji Watanabe,Ken Fujita,Masakazu Ichikawa,Abst. One-Day Workshop on JRCAT Surface Science Activities, 1997, Tsukuba, pp.6.,1997年06月
  • Nanoscale Si Selective Epitaxial Growth on Si(001) and Si(110) Surfaces Passivated with 0.3-nm-thick Oxide Layer,Ken Fujita,Heiji Watanabe,Masakazu Ichikawa,Abst. One-Day Workshop on JRCAT Surface Science Activities, 1997, Tsukuba, pp.5.,1997年06月
  • Nanometer-scale Si selective epitaxial growth on Si(001) surfaces using the thermal decomposition of ultrathin oxide films,Ken Fujita,Heiji Watanabe,Masakazu Ichikawa,Applied Physics Letters,American Institute of Physics Inc.,Vol. 70,No. 21,p. 2807-2809,1997年05月26日,研究論文(学術雑誌)
  • Layer-by-Layer Sputtering of Si(111) and (001) Surfaces Mediated by Surface Vacancy Diffusion,Heiji Watanabe,Masakazu Ichikawa,Abst. Int. Conf. on Electron, Ion and Photon Beams and Nanotechnology, 1997, California, pp.57.,1997年05月
  • Atomic-Step Observation at Buried SiO2/Si(111) Interfaces by Scanning Reflection Electron Microscopy,Heiji Watanabe,Ken Fujita,Masakazu Ichikawa,Surf. Sci. 385 (1997) L952-L957.,1997年04月,研究論文(学術雑誌)
  • Thermal decomposition of ultrathin oxide layers on Si(111) surfaces mediated by surface Si transport,Heiji Watanabe,Ken Fujita,Masakazu Ichikawa,Applied Physics Letters,American Institute of Physics Inc.,Vol. 70,No. 9,p. 1095-1097,1997年03月03日,研究論文(学術雑誌)
  • Thermal Decomposition of Ultrathin Oxide Layers on Si(111) Surfaces Mediated by Surface Si Diffusion,Heiji Watanabe,Ken Fujita,Masakazu Ichikawa,Appl. Phys. Lett. 70 (1997) 1095-1097.,1997年03月,研究論文(学術雑誌)
  • Structural Transformation of Hydrogen-Adsorbed Si(111)-√3x√3-Ag Surfaces Induced by Electron-Stimulated Desorption,Heiji Watanabe,Masakazu Ichikawa,Surf. Sci. 383 (1997) 95-102.,1997年03月,研究論文(学術雑誌)
  • Nanofabrication using selective thermal desorption of SiO2/Si induced by electron beams,S. Fujita,S. Maruno,H. Watanabe,M. Ichikawa,Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films,Vol. 15,No. 3,p. 1493-1498,1997年,研究論文(学術雑誌)
  • Observation of Thermal Desorption of Electron Stimulated SiO2 with a Combined SREM/STM,Shigemitsu Maruno,Shinobu Fujita,Heiji Watanabe,Masakazu Ichikawa,Ext. Abst. JRCAT Int. Symposium on Atom Technology, 1997, Tokyo, pp.65-68.,1997年01月
  • Nanoscale Selective Si Epitaxial Growth on Si Surfaces with 0.3-nm-thick Oxide MasksTechnology,Ken Fujita,Heiji Watanabe,Masakazu Ichikawa,Ext. Abst. JRCAT Int. Symposium on Atom , 1997, Tokyo, pp.61-64.,1997年01月
  • Observation of SiO2/Si(111) Interfaces and SiO2 Thermal Decomposition Using Scanning Reflection Electron Microscopy and Scanning Tunneling Microscopy,Heiji Watanabe,Masakazu Ichikawa,Ext. Abst. JRCAT Int. Symposium on Atom Technology, 1997, Tokyo, pp.57-60.,1997年01月
  • Vacancy Diffusion Kinetics on Si(111) and (001) Surfaces Studied by Scanning Reflection Electron Microscopy,Heiji Watanabe,Masakazu Ichikawa,Ext. Abst. JRCAT Int. Symposium on Atom Technology, 1997, Tokyo, pp.53-56.,1997年01月
  • Selective Thermal Reaction of SiO2 Induced by Electron Beams and their Applications to Nanofabrication,Shinobu Fujita,Shigemitsu Maruno,Heiji Watanabe,Masakazu Ichikawa,Ext. Abst. JRCAT Int. Symposium on Atom Technology, 1997, Tokyo, pp.13-16.,1997年01月
  • Nanofabrication using selective thermal desorption of SiO2/Si induced by electron beams,S. Fujita,S. Maruno,H. Watanabe,M. Ichikawa,Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films,Vol. 15,No. 3,p. 1493-1498,1997年,研究論文(学術雑誌)
  • Anisotropic kinetics of vacancy diffusion and annihilation on Si(001) surfaces studied by scanning reflection electron microscopy,Heiji Watanabe,Masakazu Ichikawa,Physical Review B - Condensed Matter and Materials Physics,Vol. 55,No. 15,p. 9699-9705,1997年,研究論文(学術雑誌)
  • Microprobe RHEED/STM combined microscopy,M. Ichikawa,S. Maruno,S. Fujita,H. Watanabe,Y. Kusumi,Surface Review and Letters,World Scientific Publishing Co. Pte Ltd,Vol. 4,No. 3,p. 535-542,1997年,研究論文(学術雑誌)
  • A combined apparatus of scanning reflection electron microscope and scanning tunneling microscope,S. Maruno,H. Nakahara,S. Fujita,H. Watanabe,Y. Kusumi,M. Ichikawa,Review of Scientific Instruments,American Institute of Physics Inc.,Vol. 68,No. 1,p. 116-119,1997年,研究論文(学術雑誌)
  • Microstructure fabrication using oxidation on partially Ga-terminated Si(111) surfaces,S. Maruno,S. Fujita,H. Watanabe,M. Ichikawa,Applied Physics Letters,American Institute of Physics Inc.,Vol. 69,No. 10,p. 1382-1384,1996年09月02日,研究論文(学術雑誌)
  • Oxidation of Patially Ga-Terminated Si(111) Surface,Shigemitsu Maruno,Shinobu Fujita,Heiji Watanabe,Masakazu Ichikawa,European Conference on Surface Science, 1996, Genova.,1996年09月
  • Nanostructure fabrication using the selective thermal desorption of SiO2 induced by electron beams,S. Fujita,S. Maruno,H. Watanabe,M. Ichikawa,Applied Physics Letters,American Institute of Physics Inc.,Vol. 69,No. 5,p. 638-640,1996年07月29日,研究論文(学術雑誌)
  • Self-Organized Ge Clustering on Partially Ga-Terminated Si(111),Shigemitsu Maruno,Shinobu Fujita,Heiji Watanabe,Masakazu Ichikawa,American Physical Society March Meeting, 1996, St. Lous.,1996年03月
  • Scanning Reflection Electron Microscopy Study of an Initial Stage of Layer-by-Layer Sputtering of Si(111) Surface,Heiji Watanabe,Masakazu Ichikawa,American Physical Society March Meeting, 1996, St. Lous.,1996年03月
  • Development of Ultra-Fine Structure Etching Apparatus and its Application to Layer-by-Layer Sputtering of the Si(111) Surface,Heiji Watanabe,Masakazu Ichikawa,Ext. Abst. JRCAT Int. Symposium on Atom Technology, 1996, Tokyo, pp.293-296.,1996年02月
  • Selective Thermal-Desorption of SiO2/Si Induced by Electron Beams,Shinobu Fujita,Shigemitsu Maruno,Heiji Watanabe,Masakazu Ichikawa,Ext. Abst. JRCAT Int. Symposium on Atom Technology, 1996, Tokyo, pp.289-292.,1996年02月
  • Fabrication of periodical nanostructures using electron interference fringes,S. Fujita,S. Maruno,H. Watanabe,Y. Kusumi,M. Ichikawa,Microelectronic Engineering,Elsevier,Vol. 30,No. 1-4,p. 435-438,1996年,研究論文(学術雑誌)
  • Fabrication of periodical nanostructures using electron interference fringes,S. Fujita,S. Maruno,H. Watanabe,Y. Kusumi,M. Ichikawa,Microelectronic Engineering,Elsevier,Vol. 30,No. 1-4,p. 435-438,1996年,研究論文(学術雑誌)
  • Kinetics of vacancy diffusion on Si(111) surfaces studied by scanning reflection electron microscopy,Heiji Watanabe,Masakazu Ichikawa,Physical Review B - Condensed Matter and Materials Physics,Vol. 54,No. 8,p. 5574-5580,1996年,研究論文(学術雑誌)
  • Surfactant-mediated epitaxy of Ge on partially Ga-terminated Si(111) surfaces,S. Maruno,S. Fujita,H. Watanabe,Y. Kusumi,M. Ichikawa,Applied Physics Letters,Vol. 68,No. 16,p. 2213-2215,1996年,研究論文(学術雑誌)
  • Fabrication of periodical nanostructures using electron interference fringes,S. Fujita,S. Maruno,H. Watanabe,Y. Kusumi,M. Ichikawa,Microelectronic Engineering,Elsevier,Vol. 30,No. 1-4,p. 435-438,1996年,研究論文(学術雑誌)
  • Development of a multifunctional surface analysis system based on a nanometer scale scanning electron beam: Combination of ultrahigh vacuum-scanning electron microscopy, scanning reflection electron microscopy, Auger electron spectroscopy, and x-ray photoelectron spectroscopy,Heiji Watanabe,Masakazu Ichikawa,Review of Scientific Instruments,American Institute of Physics Inc.,Vol. 67,No. 12,p. 4185-4190,1996年,研究論文(学術雑誌)
  • Kinetics of vacancy diffusion on Si(111) surfaces studied by scanning reflection electron microscopy,Heiji Watanabe,Masakazu Ichikawa,Physical Review B - Condensed Matter and Materials Physics,Vol. 54,No. 8,p. 5574-5580,1996年,研究論文(学術雑誌)
  • Initial stage of layer-by-layer sputtering of Si(111) surfaces studied by scanning reflection electron microscopy,Heiji Watanabe,Masakazu Ichikawa,Applied Physics Letters,Vol. 68,No. 18,p. 2514-2516,1996年,研究論文(学術雑誌)
  • Surfactant-mediated epitaxy of Ge on partially Ga-terminated Si(111) surfaces,S. Maruno,S. Fujita,H. Watanabe,Y. Kusumi,M. Ichikawa,Applied Physics Letters,Vol. 68,No. 16,p. 2213-2215,1996年,研究論文(学術雑誌)
  • Sub-10-nm Lithography and Development Properties of Inorganic Resist by Scanning Electron Beams,Jun-ichi Fujita,Heiji Watanabe,Yukinori Ochiai,Shoko Manako,Jaw-Shen Tsai,Shinji Matsui,J. Vac. Sci. Technol. B13 (1995) 2757-2761.,1995年11月,研究論文(学術雑誌)
  • Fabrication of Periodical Nanostructures by Transferring Electron Interference Fringes,Shinobu Fujita,Shigemitsu Maruno,Heiji Watanabe,Yukihiro Kusumi,Masakazu Ichikawa,Abst. Int. Conf. Micro- and Nano-Engineering, 1995, France.,1995年09月
  • Development Properties and Sub-10 nm Lithography by Scanning Electron Beam Using Inorganic Resist,Jun-ichi Fujita,Heiji Watanabe,Yukinori Ochiai,Shoko Manako,Jaw-Shen Tsai,Shinji Matsui,Abst. Int. Symposium on Electron, Ion and Photon Beams, 1995, Arizona, pp.153-154.,1995年05月
  • Sub-10 nm Lithography and Development Properties of Inorganic Resist by Scanning Electron Beam,Jun-ichi Fujita,Heiji Watanabe,Yukinori Ochiai,Shoko Manako,Jaw-Shen Tsai,Shinji Matsui,Appl. Phys. Lett. 66 (1995) 3065-3067.,1995年05月,研究論文(学術雑誌)
  • Periodical Nanostructure Fabrication Using Electron Interference Fringes Produced by Scanning Interference Electron Microscope,Shinobu Fujita,Shigemitsu Maruno,Heiji Watanabe,Yukihiro Kusumi,Masakazu Ichikawa,Appl. Phys. Lett. 66 (1995) 2754-2756.,1995年05月,研究論文(学術雑誌)
  • Nanolithography Developed Through Electron Beam Induced Surface Reaction (INVITED),S. Matsui,Y. Ochiai,M. Baba,H. Watanabe,Materials Research Society Spring Meeting, 1995, San Francisco.,1995年04月
  • Self-Developing Properties of High Resolution LiF(AlF3) Inorganic Electron Beam Resist,Heiji Watanabe,Jun-ichi Fujita,Yukinori Ochiai,Shinji Matsui,Masakazu Ichikawa,Ext. Abst. JRCAT Int. Symposium on Atom Technology, 1995, Tokyo, pp.239-241.,1995年02月
  • Self-developing properties of an inorganic electron beam resist and nanometer-scale patterning using a scanning electron beam,Heiji Watanabe,Jun-Ichi Fujita,Yukinori Ochiai,Shinji Matsui,Masakazu Ichikawa,Japanese Journal of Applied Physics,Vol. 34,No. 12,p. 6950-6955,1995年,研究論文(学術雑誌)
  • Self-developing properties of an inorganic electron beam resist and nanometer-scale patterning using a scanning electron beam,Heiji Watanabe,Jun-Ichi Fujita,Yukinori Ochiai,Shinji Matsui,Masakazu Ichikawa,Japanese Journal of Applied Physics,Vol. 34,No. 12,p. 6950-6955,1995年,研究論文(学術雑誌)
  • Electron-stimulated desorption and in situ scanning electron microscopy study on self-developing reaction of high-resolution inorganic electron beam resist,Heiji Watanabe,Jun-Ichi Fujita,Yukinori Ochiai,Shiniji Matsui,Masakazu Ichikawa,Japanese Journal of Applied Physics,Vol. 34,No. 7,p. L948-L950,1995年,研究論文(学術雑誌)
  • XPS and ESD Study on Cl2/GaAs(100) Surface,Heiji Watanabe,Shinji Matsui,Gordon Research Conferences; Excitation at Semiconductor Surfaces, 1994, Hawaii.,1994年10月
  • Low-Damage Electron-Beam-Assisted Dry Etching of GaAs and AlGaAs Using Electron Cyclotron Resonance Plasma Electron Source,Heiji Watanabe,Shinji Matsui,J. Vac. Sci. Technol. B11 (1993) 2288-2293.,1993年12月,研究論文(学術雑誌)
  • Nanolithography Using Electron Beam Induced Surface Reaction,Shinji Matsui,Yukinori Ochiai,Masakazu Baba,Heiji Watanabe,NATO Workshop, 1993, Rome.,1993年06月
  • Electron-Beam-Assisted ry Etching of GaAs Using Electron Cyclotron Resonance Plasma Electron Source (INVITED),Heiji Watanabe,Shinji Matsui,Int. Conf. on Electron, Ion and Photon Beams and Nanotechnology, 1993, California.,1993年05月
  • Visible Light Emission from Nanocrystalline Silicon-Oxide Phase of Porous Silicon,Yukinori Ochiai,T. Ichihashi,N. Ookubo,H. Ono,S. Matsui,M. Matsudate,Heiji Watanabe,American Physical Society March Meeting, 1993.,1993年03月
  • Electron beam irradiation effects on cl2/gaas,Heiji Watanabe,Shinji Matsui,Japanese Journal of Applied Physics,Vol. 32,No. 12 S,p. 6158-6162,1993年,研究論文(学術雑誌)
  • Nanometer-scale direct carbon mask fabrication using electron-beam-assisted deposition,Yukinori Ochiai,Heiji Watanabe,Jun-Ichi Fujita,Masakazu Baba,Shoko Manako,Shinji Matsui,Japanese Journal of Applied Physics,Vol. 32,No. 12 S,p. 6147-6152,1993年,研究論文(学術雑誌)
  • Electron beam irradiation effects on cl2/gaas,Heiji Watanabe,Shinji Matsui,Japanese Journal of Applied Physics,Vol. 32,No. 12 S,p. 6158-6162,1993年,研究論文(学術雑誌)
  • Nanometer-scale direct carbon mask fabrication using electron-beam-assisted deposition,Yukinori Ochiai,Heiji Watanabe,Jun-Ichi Fujita,Masakazu Baba,Shoko Manako,Shinji Matsui,Japanese Journal of Applied Physics,Vol. 32,No. 12 S,p. 6147-6152,1993年,研究論文(学術雑誌)
  • Effects of electron-beam-assisted dry etching on optical and electrical properties,Heiji Watanabe,Yukinori Ochiai,Shinji Matsui,Applied Physics Letters,Vol. 63,No. 11,p. 1516-1518,1993年,研究論文(学術雑誌)
  • Electron-Beam-Assisted Dry Etching for GaAs Using Electron Cyclotron Resonance Plasma Electron Source,Heiji Watanabe,Shinji Matsui,Appl. Phys. Lett. 61 (1992) 3011-3013.,1992年12月,研究論文(学術雑誌)
  • Electron-Beam-Assisted Dry Etching of GaAs,Heiji Watanabe,Shinji Matsui,Proc. Int. Symposium on Intelligent Design and Synthesis of Electron Material Systems, 1992, Osaka, pp.173-174.,1992年11月
  • Dry Etching Utilizing Showered Electron Beam Assisted Etching,Shinji Matsui,Heiji Watanabe,Microelectronic Engineering 17 (1992) 337-340.,1992年09月,研究論文(学術雑誌)
  • Electron Beam Assisted Dry Etching,Heiji Watanabe,Shinji Matsui,NEC Research & Development 33 (1992) 481-493.,1992年06月,研究論文(学術雑誌)
  • Electron Beam Assisted Dry Etching,Heiji Watanabe,Shinji Matsui,NEC Research & Development,1992年06月,研究論文(学術雑誌)
  • Low-Temperature Electron-Beam-Assisted Dry Etching for GaAs Using Electron-Stimulated Desorption,Heiji Watanabe,Shinji Matsui,Jpn. J. Appl. Phys. 31 (1992) L810-L812.,1992年06月,研究論文(学術雑誌)
  • スパッタ法により作製したa-SiC:H薄膜中の水素の熱放出,須崎嘉文,渡部平司,吹田義一,鹿間共一,芳井熊安,川辺秀昭,日本金属学会誌,Vol. 56,No. 9,p. 985-990,1992年,研究論文(学術雑誌)
  • Low-Temperature Electron-Beam-Assisted Dry Etching for GaAs Using Electron-Stimulated Desorption,Heiji Watanabe,Shinji Matsui,Tech. Digest Int. Workshop on Science and Technology for Surface Reaction Process, 1992, Tokyo, pp.125-126.,1992年01月
  • Nanotechnology Developed Through Electron Beam Induced Surface Reaction,Shinji Matsui,Yukinori Ochiai,Masakazu Baba,Heiji Watanabe,Proc. Int. Workshop on Quantum Functional Devices, 1992, Nasu, pp.25-43.,1992年01月
  • Novel Process for Visible Light Emission from Si Prepared by Ion Irradiation,Yukinori Ochiai,Norio Ookubo,Heiji Watanabe,Shinji Matsui,Yasunori Mochizuki,Toshinari Ichihashi,Haruhiko Ono,Shigeru Kimura,Japanese Journal of Applied Physics,Vol. 31,No. 5,p. L560-L563,1992年,研究論文(学術雑誌)
  • Nanostructure Technology Developed Through Electron-Beam-Induced Surface Reaction,Shinji Matsui,Toshinari Ichihashi,Yukinori Ochiai,Masakazu Baba,Heiji Watanabe,Akihiro Sato,Abst. Int. Symposium on Science and Technology of Mesoscopic Structures, 1991, Nara, pp.26-27,1991年11月
  • GaAs Dry Etching Using Electron Beam Induced Surface Reaction,Heiji Watanabe,Shinji Matsui,Jpn. J. Appl. Phys. 30 (1991) 3190-3194.,Vol. 5,No. 11,p. 223-227,1991年11月,研究論文(学術雑誌)
  • Dry Etching Utilizing Showered Electron Beam Assisted Etching,Shinji Matsui,Heiji Watanabe,Abst. Int. Conf. on Microlithography, 1991, Roma, B4.4.,1991年09月
  • 10-nm Resolution Nanolithography Using Newly Developed 50 kV Electron Beam Direct Writing System,Yukinori Ochiai,Masakazu Baba,Heiji Watanabe,Shinji Matsui,Digest Int. MicroProcess Conf., 1991, Chiba, pp.202-203.,1991年07月
  • GaAs Dry Etching Using Electron Beam Induced Surface Reaction,Heiji Watanabe,Shinji Matsui,Digest Int. MicroProcess Conf., 1991, Chiba, pp.112-113.,Vol. 5,No. 11,p. 223-227,1991年07月
  • Gaas dry etching using electron beam induced surface reaction,Heiji Watanabe,Shinji Matsui,Japanese Journal of Applied Physics,Vol. 30,No. 11S,p. 3190-3194,1991年,研究論文(学術雑誌)
  • Ten-nanometer resolution nanolithography using newly developed 50-kv electron beam direct writing system,Yukinori Ochiai,Masakazu Baba,Heiji Watanabe,Shinji Matsui,Japanese Journal of Applied Physics,Vol. 30,No. 11S,p. 3266-3271,1991年,研究論文(学術雑誌)
  • Si and GaAs dry etching utilizing showered electron-beam assisted etching through Cl2 gas,S. Matsui,H. Watanabe,Applied Physics Letters,Vol. 59,No. 18,p. 2284-2286,1991年,研究論文(学術雑誌)
  • Reverse dry etching using a high-selectivity carbon mask formed by electron beam deposition,Heiji Watanabe,Shinji Matsui,Japanese Journal of Applied Physics,Vol. 30,No. 9,p. L1598-L1600,1991年,研究論文(学術雑誌)

MISC

  • 10aAS-1 SiC-MOSデバイスにおけるプロトン拡散の理論的検討(10aAS 表面界面ダイナミクス/水素ダイナミクス,領域9(表面・界面,結晶成長)),白川 裕規,神谷 克政,渡部 平司,白石 賢二,日本物理学会講演概要集,一般社団法人日本物理学会,Vol. 69,No. 2,p. 674-674,2014年08月22日
  • AIONゲート絶縁膜導入によるSiCパワーMOSFETの高性能化及び信頼性向上 (シリコン材料・デバイス・IEDM特集(先端CMOSデバイス・プロセス技術)),細井 卓治,東雲 秀司,柏木 勇作,保坂 重敏,中村 亮太,箕谷 周平,中野 佑紀,浅原 浩和,中村 孝,木本 恒暢,志村 考功,渡部 平司,電子情報通信学会技術研究報告 : 信学技報,一般社団法人電子情報通信学会,Vol. 112,No. 421,p. 19-22,2013年01月30日
  • High-κゲートスタック技術の進展と最新動向 (特集 世界的な競争領域にある最先端デバイス技術) -- (CMOS技術の最前線),渡部 平司,細井 卓治,電子情報通信学会誌 : The Journal of the Institute of Electronics, Information and Communication Engineers,電子情報通信学会,Vol. 95,No. 11,p. 960-964,2012年11月
  • X線反射率測定によるTiN/HfSiON界面の熱安定性評価,川村浩太,三島永嗣,志村考功,渡部平司,安武潔,神山聡,赤坂泰志,奈良安雄,中村邦雄,山田啓作,精密工学会大会学術講演会講演論文集,Vol. 2006,2006年03月01日
  • 熱処理に伴うHfSiO<sub>x</sub>/SiO<sub>2</sub>/Si構造の界面酸化反応のX線CTR散乱測定,三島永嗣,川村浩太,志村考功,渡部平司,安武潔,神山聡,赤坂泰志,奈良安雄,中村邦雄,山田啓作,精密工学会大会学術講演会講演論文集,公益社団法人 精密工学会,Vol. 2005,No. 0,p. J45-820,2005年09月01日
  • 高誘電率ゲート絶縁膜とメタルゲート電極との界面反応の評価,喜多祐起,吉田慎一,渡辺康匡,志村考功,渡部平司,安武潔,赤坂泰志,奈良安雄,中村邦雄,山田啓作,精密工学会大会学術講演会講演論文集,Vol. 2005,2005年09月01日
  • 相制御Niフルシリサイド電極を用いたHfSiON高誘電率ゲート絶縁膜MOSFETの作製と電気特性の評価,高橋 健介,間部 謙三,五十嵐 多恵子,五十嵐 信行,長谷 卓,吉原 拓也,渡部 平司,辰巳 徹,望月 康則,電気学会研究会資料. EFM, 電子材料研究会,Vol. 2005,No. 22,p. 31-35,2005年06月03日
  • 組成制御Niフルシリサイド電極を用いた低消費電力向けHfSiONゲート絶縁膜MOSFET,高橋 健介,間部 謙三,五十嵐 多恵子,五十嵐 信行,長谷 卓,吉原 拓也,渡部 平司,辰巳 徹,望月 康則,電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス,一般社団法人電子情報通信学会,Vol. 104,No. 577,p. 21-24,2005年01月14日
  • シリコン酸化膜の原子スケール評価とAtomic Layer Depositionによる高誘電体薄膜形成,渡部 平司,表面科学,Vol. 21,No. 9,p. 597-597,2000年09月10日
  • 23pZN-8 Siの層状酸化,渡部 平司,宮田 典幸,市川 昌和,日本物理学会講演概要集,一般社団法人日本物理学会,Vol. 55,No. 1,p. 774-774,2000年03月10日
  • 27p-ZF-8 可視発光Siの作製と物性 : イオン照射フッ酸処理法と陽極化成法,落合 幸徳,望月 康則,市橋 鋭也,渡部 平司,大久保 紀雄,小野 晴彦,木村 滋,馬場 雅和,松井 真二,秋の分科会講演予稿集,一般社団法人日本物理学会,Vol. 1992,No. 2,p. 187-188,1992年09月14日

著書

  • 学術書,Fundamental Aspects of Silicon Oxidation, Springer (Layer-by-Layer Oxidation of Si(001) Surfaces, pp.89-105.),H. Watanabe,N. Miyata,M. Ichikawa,Springer,2001年02月

特許・実用新案・意匠

  • 高誘電率薄膜を用いた半導体装置の製造方法,渡部 平司,4826971,2000-385907,出願日:2009年04月,登録日:2011年09月
  • 半導体装置およびその製造方法,間部 謙三,五十嵐 信行,吉原 拓也,渡部 平司,4792716,2004-198842,出願日:2004年07月,登録日:2011年08月
  • 半導体装置およびその製造方法,渡部 平司,遠藤 和彦,間部 謙三,4643884,2002-187596,出願日:2002年06月,登録日:2010年12月
  • エピタキシャルSi膜の製造方法およびプラズマ処理装置,大参宏昌,安武潔,垣内弘章,渡部平司,4539985,2005-319273,出願日:2005年11月,登録日:2010年07月
  • 高誘電率薄膜の成膜方法,渡部 平司,4367599,2000-385907,出願日:2000年12月,登録日:2009年09月
  • 半導体装置の製造方法,渡部 平司,渡辺 啓仁,辰巳 徹,藤枝 信次,4239015,2004-521225,出願日:2003年07月,登録日:2009年01月
  • 高誘電率絶縁膜を有する半導体装置とその製造方法,渡部 平司,小野 春彦,五十嵐 信行,4120938,2003-522997,出願日:2002年08月,登録日:2008年05月
  • シリコン表面処理および素子作製方法,渡部平司,3386116,平11-371496,出願日:1999年04月,登録日:2003年01月
  • 微細構造素子とその製造方法,渡部平司,3228250,特願平 10-348217,出願日:1998年12月,登録日:2001年09月
  • 半導体表面のパターニング方法,丸野 茂光,藤田 忍,渡部 平司,市川 昌和,3115527,平08-100343,出願日:1996年04月,登録日:2000年09月
  • 半導体微細構造の形成方法,藤田 忍,丸野 茂光,渡部 平司,市川 昌和,3059121,平09-170195,出願日:1997年04月,登録日:2000年04月
  • 半導体基板の表面処理方法、表面処理装置、並びに半導体装置の製造方法,渡部 平司,市川 昌和,2912214,平08-024978,出願日:1996年02月,登録日:1999年04月
  • 微細加工方法,藤田 忍,丸野 茂光,渡部 平司,市川 昌和,2922149,平08-024195,出願日:1996年02月,登録日:1999年04月
  • 半導体酸化膜の形成方法,加藤 弘一,渡部 平司,2880993,平10-081628,出願日:1998年03月,登録日:1999年01月
  • 半導体表面のパターニング方法及び半導体装置の製造方法,藤田 忍,丸野 茂光,渡部 平司,楠見 之博,市川 昌和,2831953,平07-217883,出願日:1995年08月,登録日:1998年10月
  • 微細構造発光素子作製方法,渡部平司,2806136,平04-083174,出願日:1992年03月,登録日:1998年07月
  • 微細パターン形成方法,渡部平司,2737613,平05-273929,出願日:1993年11月,登録日:1998年01月
  • 電子ビーム励起ドライエッチングにおける選択エッチング方法,渡部平司,2104999,平05-013380,出願日:1993年01月,登録日:1996年11月
  • X線位相差撮像装置,佐野 哲,田邊 晃一,吉牟田 利典,木村 健士,岸原 弘之,和田 幸久,和泉 拓朗,白井 太郎,土岐 貴弘,堀場 日明,志村 考功,渡部 平司,細井 卓治,2016-148428,出願日:2016年07月
  • 単結晶状GeSn含有材料の製造方法および単結晶状GeSn含有材料基板,志村考功,渡部平司,細井卓治,特願2012-042746,出願日:2012年02月
  • 金属ナノ粒子の選択配置方法,浦岡行治,山下一郎,鄭彬,渡部平司,是津信行,特願2010-134731,出願日:2010年06月

受賞

  • 第16回(2022年度) 応用物理学会フェロー表彰,渡部平司,公益社団法人応用物理学会,2022年07月
  • 第43回(2021年度)応用物理学会 解説論文賞,木本恒暢,渡部平司,公益社団法人 応用物理学会,2021年08月
  • 文部科学大臣表彰(科学技術賞・研究部門),渡部平司,文部科学省,2019年04月
  • 第4回(平成27年度)大阪大学総長顕彰(研究部門),渡部 平司,大阪大学,2015年07月
  • 第3回(平成26年度)大阪大学総長顕彰(研究部門),渡部 平司,大阪大学,2014年07月
  • 平成23年度大阪大学功績賞(研究部門),渡部平司,大阪大学,2011年07月
  • 平成22年度矢崎学術賞(功績賞),渡部平司,矢崎科学技術振興記念財団,2011年03月
  • 第7回日本学士院学術奨励賞,渡部平司,日本学士院,2011年03月
  • 第7回日本学術振興会賞,渡部平司,日本学術振興会,2011年03月
  • 2008 IWDTF Best Poster Award,T. Shimura,Y. Okamoto,T. Inoue,T. Hosoi,H. Watanabe,The Japan Society of Applied Physics, Japan,2008年11月
  • 第29回応用物理学会論文賞「JJAP論文賞」,渡部平司,応用物理学会,2007年07月
  • 第62回 半導体・集積回路技術シンポジウムアワード,渡部平司,電気化学会,2002年12月
  • 平成9年度JRCAT賞特賞,渡部平司,藤田忍,アトムテクノロジー研究体,1998年11月
  • 第20回(1998年度)応用物理学会賞(学会賞B:奨励賞),渡部平司,応用物理学会,1998年09月
  • 第1回(1996年 秋季)応用物理学会講演奨励賞,渡部平司,応用物理学会,1997年03月
  • 平成7年度JRCAT賞,藤田忍,丸野茂光,渡部平司,アトムテクノロジー研究体,1996年02月

講演・口頭発表等

  • 犠牲酸化プロセスによる SiC MOSFET の電気特性劣化,八軒 慶慈,藤本 博貴,小林 拓真,平井 悠久,染谷 満,岡本 光央,志村 考功,渡部 平司,第71回応用物理学会春季学術講演会,2024年03月23日
  • Si基板上GeSn細線のレーザー溶融結晶化における下地SiO2膜厚とレーザー走査速度の最適化,早川 雄大,近藤 優聖,國吉 望月,小林 拓真,志村 孝功,渡部 平司,第71回応用物理学会春季学術講演会,2024年03月23日
  • 低温追酸化によるSiO2/SiC界面発光中心の密度制御と電気特性との相関,大西 健太郎,中沼 貴澄,田原 康佐,朽木 克博,志村 考功,渡部 平司,小林 拓真,第71回応用物理学会春季学術講演会,2024年03月23日
  • 第一原理計算に基づく4H-SiC中酸素関連欠陥の系統的調査,岩本 蒼典,志村 考功,渡部 平司,小林 拓真,第71回応用物理学会春季学術講演会,2024年03月23日
  • Si 基板上 GeSn 細線のレーザー溶融結晶化における レーザー走査条件と下地 SiO2膜厚の最適化,早川 雄大,近藤 優聖,國吉 望月,小林 拓真,志村 考功,渡部 平司,第 29 回 電子デバイス界面テクノロジー研究会,2024年02月02日
  • a 面 4H-SiC MOS 界面の室温~低温 ESR/EDMR 評価,近藤 蓮,曽弘 宇,染谷 満,平井 悠久,渡部 平司,梅田 享英,応用物理学会 先進パワー半導体分科会 第10回講演会,2023年12月01日
  • SiO2/GaN界面酸化ガリウム層に対する熱処理の影響,上沼 睦典,大西 健太郎,富田 広人,川村 聡太,多田村 充,盛喜 琢也,夏井 葉月,橋本 由介,小林 拓真,藤井 茉美,松下 智裕,渡部 平司,浦岡 行治,応用物理学会 先進パワー半導体分科会 第10回講演会,2023年12月01日
  • 量子技術応用に向けたSiC MOS界面単一光子源の制御,中沼 貴澄,田原 康佐,朽木 克博,志村 考功,渡部 平司,小林 拓真,応用物理学会 先進パワー半導体分科会 第10回講演会,2023年12月01日
  • SiO2とSiCの直接貼り合わせによるSiO2/SiC構造の形成,神畠 真治,小林 拓真,志村 考功,渡部 平司,応用物理学会 先進パワー半導体分科会 第10回講演会,2023年11月30日
  • ゲートストレス印加によるSiC MOS界面の劣化とデバイス特性への影響,小柳 香穂,小林 拓真,平井 悠久,染谷 満,岡本 光央,志村 考功,渡部 平司,応用物理学会 先進パワー半導体分科会 第10回講演会,2023年11月30日
  • プラズマ窒化・SiO2堆積・CO2熱処理の複合プロセスによる高品質SiC MOS構造の形成,藤本 博貴,小林 拓真,志村 考功,渡部 平司,応用物理学会 先進パワー半導体分科会 第10回講演会,2023年11月30日
  • SiO2/GaOx/GaN構造の固定電荷に対するポストアニールの効果,荒木 唯衣,小林 拓真,冨ケ原 一樹,野﨑 幹人,志村 考功,渡部 平司,応用物理学会 先進パワー半導体分科会 第10回講演会,2023年11月30日
  • Below-gap光照射によるn型GaN MOS 界面の正孔トラップ評価,冨ケ原 一樹,小林 拓真,野﨑 幹人,志村 考功,渡部 平司,応用物理学会 先進パワー半導体分科会 第10回講演会,2023年11月30日
  • 低温追酸化プロセスによるSiO2/SiC界面単一光子源の形成,大西 健太郎,中沼 貴澄,田原 康佐,朽木 克博,志村 考功,渡部 平司,小林 拓真,応用物理学会 先進パワー半導体分科会 第10回講演会,2023年11月30日
  • Effects of doped Mg concentrations on the reduction of hole traps in the vicinity of the SiO2/p-GaN MOS interface,Hidetoshi Mizobata,Mikito Nozaki,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,The 14th International Conference on Nitride Semiconductors (ICNS-14),2023年11月14日
  • Hole Traps in SiO2/GaN MOS structures Evaluated by Below-gap Light Illumination,Kazuki Tomigahara,Takuma Kobayashi,Mikito Nozaki,Takayoshi Shimura,Heiji Watanabe,The 14th International Conference on Nitride Semiconductors (ICNS-14),2023年11月14日
  • Characterizations of nitrogen profiles and interface properties in NO-nitrided SiO2/SiC(03̅38̅) structures,Hayato Iwamoto,Takato Nakanuma,Hirohisa Hirai,Mitsuru Sometani,Mitsuo Okamoto,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,2023 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES – SCIENCE AND TECHNOLOGY -,2023年10月25日
  • 局所溶融結晶化GeSn PINダイオードの発光特性解析,岩本 蒼典,細井 卓治,小林 拓真,志村 考功,渡部 平司,第84回 応用物理学会秋季学術講演会,2023年09月23日
  • Below-gap光照射を用いたSiO2/p型GaN構造の正孔トラップ評価,冨ケ原 一樹,小林 拓真,野﨑 幹人,志村 考功,渡部 平司,第84回 応用物理学会秋季学術講演会,2023年09月22日
  • SiO2/p-GaN MOS界面近傍の正孔トラップ低減に対するMgドープ濃度の影響,溝端 秀聡,野﨑 幹人,小林 拓真,志村 考功,渡部 平司,第84回 応用物理学会秋季学術講演会,2023年09月22日
  • SiO2/SiC(0-33-8) 構造の NO 窒化過程の観察と電気特性評価,岩本 隼登,中沼 貴澄,平井 悠久,染谷 満,岡本 光央,小林 拓真,志村 考功,渡部 平司,第84回 応用物理学会秋季学術講演会,2023年09月21日
  • 高エネルギーX線CT計測 -高角散乱X線を用いたライトシート3Dイメージングとの比較検証-,志村 考功,梶原 堅太郎,辻 成希,小林 拓真,渡部 平司,第84回 応用物理学会秋季学術講演会,2023年09月19日
  • Comparison of polar-face and non-polar faces 4H-SiC/SiO2 interfaces revealed by magnetic resonance and related techniques,Ren Kondo,Hongyu Zeng,Mitsuru Sometani,Hirohisa Hirai,Heiji Watanabe,Takahide Umeda,International Conference on Silicon Carbide & Related Materials 2023,2023年09月21日
  • Formation of color centers at SiO2/SiC interfaces by thermal oxidation and its correlation with electrical properties,Kentaro Onishi,Takato Nakanuma,Kosuke Tahara,Katsuhiro Kutsuki,Takayoshi Shimura,Heiji Watanabe,Takuma Kobayashi,International Conference on Silicon Carbide & Related Materials 2023,2023年09月21日
  • A SiO2/SiC interface formed by direct bonding of SiO2 and SiC,Shinji Kamihata,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,International Conference on Silicon Carbide & Related Materials 2023,2023年09月20日
  • Ab initio study of oxygen-vacancy defect in 4H-SiC: A potential qubit,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,International Conference on Silicon Carbide & Related Materials 2023,2023年09月20日
  • Controlling the properties of single photon emitters at SiO2/SiC interfaces by oxidation and annealing,Takato Nakanuma,Kosuke Tahara,Katsuhiro Kutsuki,Takayoshi Shimura,Heiji Watanabe,Takuma Kobayashi,International Conference on Silicon Carbide & Related Materials 2023,2023年09月19日
  • Improved interface properties in SiC(0001) MOS structures by plasma nitridation of SiC surface prior to SiO2 deposition,Hiroki Fujimoto,Takuma Kobayashi,Yu Iwakata,Takayoshi Shimura,Heiji Watanabe,International Conference on Silicon Carbide & Related Materials 2023,2023年09月19日
  • Accurate analysis of leakage characteristics of SiC (1-100) MOS devices over a wide temperature range,Asato Suzuki,Takuma Kobayashi,Mitsuru Sometani,Mitsuo Okamoto,Takayoshi Shimura,Heiji Watanabe,International Conference on Silicon Carbide & Related Materials 2023,2023年09月19日
  • Fabrication of SiO2/4H-SiC MOS devices by sputter deposition of SiO2 followed by high-temperature CO2-post deposition annealing,Tae-Hyeon Kil,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,International Conference on Silicon Carbide & Related Materials 2023,2023年09月18日
  • 4H-SiC(11-20)面(a面)MOS界面欠陥の電子スピン共鳴分光(ESR/EDMR)評価,近藤 蓮,染谷 満,渡部 平司,梅田 享英,2023年第70回応用物理学会春季学術講演会,2023年03月16日
  • SiO2/SiC界面発光中心密度と電気的特性の相関,中沼 貴澄,田原 康佐,木村 大至,朽木 克博,志村 考功,渡部 平司,小林 拓真,第70回 応用物理学会春季学術講演会,2023年03月15日
  • Si基板上GeSn細線のレーザー溶融結晶化と光学特性評価,近藤 優聖,田淵 直人,國吉 望月,小林 拓真,志村 考功,渡部 平司,第70回 応用物理学会春季学術講演会,2023年03月16日
  • Beyond the temporal resolution limit of silicon image sensors",T. Shimura,G. T. Etoh,H. Watanabe,Ultrafast Imaging and Tracking Instrumentation, Methods and Applications Conference (ULITIMA 2023),2023年03月16日
  • スパッタ成膜によるGe(100)基板上への高品質単結晶GeSn層のエピタキシャル成長,田中 信敬,國吉 望月,安部 和弥,星原 雅生,小林 拓真,志村 考功,渡部 平司,電子デバイス界面テクノロジー研究会-材料・プロセス・デバイス特性の物理-(第28回研究会),2023年02月04日
  • Challenges in SiO2/SiC Interface Engineering for SiC Power MOSFETs,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,The 48th Conference on the Physics and Chemistry of Surfaces and Interfaces (PCSI-48),2023年01月17日
  • 酸化および熱処理プロセスによる SiO2/SiC 界面発光中心の制御,中沼 貴澄,田原 康佐,木村 大至,朽木 克博,志村 考功,渡部 平司,小林 拓真,先進パワー半導体分科会 第9回講演会,2022年12月20日
  • NO 窒化 SiO2/SiC(11-20) 界面へのエキシマ紫外光照射の影響,藤本 博貴,小林 拓真,染谷 満,岡本 光央,志村 考功,渡部 平司,先進パワー半導体分科会 第9回講演会,2022年12月20日
  • NO 窒化 SiC(1-100) MOS デバイスのリーク伝導機構,鈴木 亜沙人,中沼 貴澄,小林 拓真,染谷 満,岡本 光央,吉越 章隆,志村 考功,渡部 平司,先進パワー半導体分科会 第9回講演会,2022年12月20日
  • 酸素及び水素熱処理によるスパッタ成膜 SiO2/GaN MOS 構造の界面特性及び絶縁性向上,大西 健太郎,小林 拓真,溝端 秀聡,野﨑 幹人,吉越 章隆,志村 考功,渡部 平司,先進パワー半導体分科会 第9回講演会,2022年12月20日
  • Fabrication of Tensile-strained Single-crystalline GeSn Wires on Amorphous Quartz Substrates by Local Liquid-phase Crystallization,T. Shimura,H. Oka,T. Hosoi,Y. Imai,S. Kimura,H. Watanabe,The 8th International Symposium on Advanced Science and Technology of Silicon Materials,2022年11月07日
  • Impact of Oxidation and Post Annealing on the Density and Optical Properties of Color Centers at SiO2/SiC Interfaces,Takato Nakanuma,Takuma Kobayashi,Kosuke Tahara,Taishi Kimura,Katsuhiro Kutsuki,Takayoshi Shimura,Heiji Watanabe,19th International Conference on Silicon Carbide and Related Materials (ICSCRM 2022),2022年09月14日
  • Degradation of NO-Nitrided SiC MOS Devices Due to Excimer Ultraviolet Light Illumination,Hiroki Fujimoto,Takuma Kobayashi,Mitsuru Sometani,Mitsuo Okamoto,Takayoshi Shimura,Heiji Watanabe,19th International Conference on Silicon Carbide and Related Materials (ICSCRM 2022),2022年09月14日
  • Improved Performance of SiC CMOS Ring Oscillators By Post-nitridation Treatment in CO2,Mizuki Kuniyoshi,Kidist Moges,Takuma Kobayashi,Takuji Hosoi,Takayoshi Shimura,Keita Tachiki,Tsunenobu Kimoto,Heiji Watanabe,19th International Conference on Silicon Carbide and Related Materials (ICSCRM 2022),2022年09月14日
  • Nitridation-induced degradation of SiC(1-100) MOS devices,Takuma Kobayashi,Takato Nakanuma,Asato Suzuki,Mitsuru Sometani,Mitsuo Okamoto,Akitaka Yoshigoe,Takayoshi Shimura,Heiji Watanabe,19th International Conference on Silicon Carbide and Related Materials (ICSCRM 2022),2022年09月13日
  • CO2 post-nitridation annealing for improving immunity to change trapping in SiC MOS devices,Takuji Hosoi,Momoe Ohsako,Kidist Moges,Koji Ito,Tsunenobu Kimoto,Mitsuru Sometani,Mitsuo Okamoto,Akitaka Yoshigoe,Takayoshi Shimura,Heiji Watanabe,19th International Conference on Silicon Carbide and Related Materials (ICSCRM 2022),2022年09月13日
  • Recent progress and challenges in SiC and GaN MOS devices: understanding of physics and chemistry near the MOS interface,Heiji Watanabe,Takuma Kobayashi,Takuji Hosoi,Takayoshi Shimura,19th International Conference on Silicon Carbide and Related Materials (ICSCRM 2022),2022年09月13日
  • Reliability Issues in Nitrided SiC MOS Devices,Takuma Kobayashi,Takato Nakanuma,Asato Suzuki,Mitsuru Sometani,Mitsuo Okamoto,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,9th International Symposium on Control of Semiconductor Interfaces (ISCSI-IX),2022年09月07日
  • Fabrication and Luminescence Characterization of Uniaxial Tensile-strained Ge Wires using Internal Stress in Metal Thin Films,T. Shimura,S. Tanaka,H. Watanabe,T. Hosoi,The 19th International Conference on Defects-Recognition, Imaging and Physics in Semiconductors (DRIP19),2022年08月30日
  • Interface science and engineering for GaN-based MOS devices,H. Watanabe,H. Mizobata,M. Nozaki,T. Kobayashi,T. Hosoi,T. Shimura,14th Topical Workshop on Heterostructure Microelectronics(TWHM 2022),2022年08月30日
  • 電子の水平運動が卓越するブランチングイメージセンサ,志村考功,ゴグエン ホアイ,渡部平司,下ノ村和弘,武藤秀樹,江藤剛治,映像情報メディア学会 情報センシング研究会(IST),2022年06月29日
  • Characterization of Electron Traps in Gate Oxide of SiC MOS Capacitors,Yutaka Terao,Takuji Hosoi,Shinya Takashima,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,IEEE International Reliability Physics Symposium (IRPS 2022),2022年03月30日
  • Investigation of reliability of NO nitrided SiC(1-100) MOS devices,Takato Nakanuma,Asato Suzuki,Yu Iwakata,Takuma Kobayashi,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,IEEE International Reliability Physics Symposium (IRPS 2022),2022年03月29日
  • NO窒化処理を施した非基底面SiC MOSデバイスの信頼性,中沼貴澄,小林拓真,染谷満,岡本光央,吉越章隆,細井卓治,志村考功,渡部平司,(一社)電気学会 電子デバイス研究会,2022年03月09日
  • 高速イメージセンサの現状と展望 -ピコ秒を目指して-,江藤剛治,志村考功,下ノ村和弘,渡部平司,(独)日本学術振興会「結晶加工と評価技術」第 145 委員会 第 174回研究会,2022年01月31日
  • NO窒化処理を施した4H-SiC(11-20) MOSデバイスの絶縁性および閾値安定性の評価,中沼 貴澄, 岩片 悠, 小林 拓真, 染谷 満, 岡本 光央, 吉越 章隆, 細井 卓治, 志村 考功, 渡部 平司,「電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―」 (第27回研究会),2022年01月29日
  • 光吸収層を有する石英基板上GeSn細線のレーザー溶融結晶化,田淵 直人,山口 凌雅,近藤 雅斗,國吉 望月,細井 卓治,小林 拓真,志村 考功,渡部 平司,「電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―」 (第27回研究会),2022年01月28日
  • AlGaNキャップ層によるMgドープp-GaNの活性化抑制と水素脱離過程の制御による特性改善,溝端 秀聡,和田 悠平,野﨑 幹人,細井 卓治,成田 哲生,加地 徹,志村 考功,渡部 平司,「電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―」 (第27回研究会),2022年01月28日
  • 局所液相成長法によって作製した単結晶GeSn細線の受光・発光特性,志村 考功,細井 卓治,小林 拓真,渡部 平司,レーザー学会学術講演会第42回年次大会,2022年01月13日
  • Gate stack technology for advanced wide bandgap power electronics,Heiji Watanabe,The 3rd International Workshop on Materials Science and Advanced Electronics Created by Singularity (IWSingularity 2022) /The 2nd International Symposium on Wide Gap Semiconductor Growth, Process and Device Simulation (ISWGPDs 2022),2022年01月11日
  • GaN(000-1)面上に形成したSiO2/GaN MOSキャパシタの電気特性評価,冨ヶ原 一樹,和田 悠平,溝端 秀聡,野﨑 幹人,吉越 章隆,細井 卓治,小林 拓真,志村 考功,渡部 平司,先進パワー半導体分科会第8回講演会,2021年12月09日
  • NO-POAを施したSiO2/4H-SiC(1-100)界面の電気特性評価および物理分析,鈴木 亜沙人,中沼 貴澄,岩片 悠,小林 拓真,染谷 満,岡本 光央,細井 卓治,志村 考功,渡部 平司,先進パワー半導体分科会第8回講演会,2021年12月09日
  • SiO2/GaN MOS構造におけるゲート絶縁膜信頼性への堆積後熱処理の効果,見掛 文一郎,溝端 秀聡,野﨑 幹人,小林 拓真,志村 考功,渡部 平司,2021年12月09日
  • エキシマ紫外光照射によるNO窒化SiC MOSデバイスの特性劣化,藤本 博貴,小林 拓真,染谷 満,岡本 光央,細井 卓治,志村 考功,渡部 平司,先進パワー半導体分科会第8回講演会,2021年12月09日
  • 超高圧活性化熱処理を施したMgイオン注入GaNを用いたp型GaN MOSデバイスの電気特性評価,溝端 秀聡,和田 悠平,野﨑 幹人,小林 拓真,細井 卓治,加地 徹,志村 考功,渡部 平司,先進パワー半導体分科会第8回講演会,2021年12月10日
  • ワイドバンドギャップ半導体MOS界面特性の類似性と相違点,渡部平司,先進パワー半導体分科会第8回講演会,2021年12月10日
  • On the way to the super temporal resolution image sensor of visible light,T. Shimura,N. H. Ngo,A. Q. Nguyen,F. M. Bufler,H. Watanabe,P. Matagne,E. Charbon,T. G. Etoh,International Meet & Expo on Laser, Optics and Photonics (OPTICSMEET2021),2021年11月04日
  • 符号化開口を用いた後方散乱X線イメージング,志村 考功,小林 拓真,細井 卓治,渡部 平司,日本光学会年次学術講演会,2021年10月28日
  • Dynamic Crosstalk Analysis for Branching Image Sensors,Nguyen H. Ngo,Takayoshi Shimura,Taeko Ando,Heiji Watanabe,Kazuhiro Shimonomura,Yoshinari Kamakura,Hideki Mutoh,T. Goji Etoh,2021 International Image Sensor Workshop (IISW),2021年09月22日
  • Toward Super Temporal Resolution by Controlling Horizontal Motions of Electrons,T. Goji Etoh,Nguyen Hoai Ngo,Kazuhiro Shimonomura,Taeko Ando,Takayoshi Shimura,Heiji Watanabe,Hideki Mutoh,Yoshinari Kamakura,Edoardo Charbon,2021 International Image Sensor Workshop (IISW),2021年09月23日
  • Comprehensive Physical and Electrical Characterizations of NO Nitrided SiO2/4H-SiC(11-20) Interfaces,Takato Nakanuma,Yuu Iwakata,Takuji Hosoi,Takuma Kobayashi,Mitsuru Sometani,Mitsuo Okamoto,Takayoshi Shimura,Heiji Watanabe,2021 International Conference on Solid State Devices and Materials (SSDM 2021),2021年09月08日
  • Fixed Charge Generation in SiO2/GaN MOS Structures by Forming Gas Annealing and its Suppression by Controlling Ga-oxide Interlayer Growth,Hidetoshi Mizobata,Mikito Nozaki,Takuma Kobayashi,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2021 International Conference on Solid State Devices and Materials (SSDM 2021),2021年09月09日
  • A Branching Image Sensor for Sub-nanosecond Burst Imaging,映像情報メディア学会 情報センシング研究会(IST),2021年06月30日
  • Super-temporal-resolution Image Sensor -- Beyond the Theoretical Highest Frame Rate of Silicon Image Sensors --,映像情報メディア学会 情報センシング研究会(IST),2021年06月30日
  • Control of SiO2/SiC Interface for SiC-based Power MOSFET,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,International Conference on Processing & Manufacturing of Advanced Materials (Thermec’2021),2021年06月01日
  • Optoelectronic Integration Based on High-quality GeSn Grown by Liquid Phase Crystallization,Heiji Watanabe,Hiroshi Oka,Takuji Hosoi,Takayoshi Shimura,International Conference on Processing & Manufacturing of Advanced Materials (Thermec’2021),2021年06月04日
  • 温度可変ホール効果測定による4H-SiC(0001) MOSFETチャネル内電子伝導機構の考察,武田 紘典,染谷 満,細井 卓治,志村 考功,矢野 裕司,渡部 平司,「電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―」 (第24回研究会),2019年01月25日
  • Reactivity of Water Vapor with Ultrathin GeO2/Ge and SiO2/Si Structures Investigated by Near-Ambient-Pressure X-ray Photoelectron Spectroscopy,K. Arima,T. Hosoi,H. Watanabe,E.J. Crumlin,232nd ECS MEETING,2017年10月03日
  • Ge-on-Siストーンサークルイメージセンサ,江藤剛治,武藤秀樹,澤野憲太郎,渡部平司,志村考功,Edoardo Charbon,映像情報メディア学会 情報センシング研究会(IST),2023年09月15日
  • CO2熱処理によるSiC MOSFETの信頼性向上,細井卓治,志村考功,渡部平司,電子情報通信学会, シリコン材料・デバイス研究会(SDM),2022年10月19日

報道

  • GaN製パワー半導体 パナソニックが基地局向け,日本経済新聞(電子版),2018年02月
  • 5G基地局向け半導体 小型で大電流耐える,日経産業新聞,2018年02月
  • 大電力電源機器を高速・小型化 絶縁ゲート型GaNパワートランジスタ,日刊工業新聞,2018年02月
  • 連続安定駆動が可能 MIS型GaNパワーTR,電波新聞,2018年02月
  • 大阪大学ら SiC絶縁耐圧1.5倍 AlON膜で信頼性向上,半導体産業新聞,2012年12月
  • 漏れ電流1ケタ低減 AlON採用のSiCトランジスタ 阪大など開発 長期信頼性も向上,化学工業日報,2012年12月
  • 阪大/京大/ローム/東京エレ ゲート絶縁膜にAlON採用 SiCパワーMOSFET開発 13年度にも実用化めざす,電波新聞,2012年12月
  • 電力損失を大幅低減 パワー半導体 アルミ酸化物使う 阪大など,日経産業新聞,2012年12月
  • SiC MOSFET 高誘電率ゲート絶縁膜採用 阪大など 漏れ電流9割低減,日刊工業新聞,2012年12月
  • 新CMOSしきい値制御技術 -32/22ナノ世代、ばらつき低減に効果-,電波新聞,2007年12月
  • 電極組成変え電圧制御,日刊工業新聞,2007年12月
  • ゲート金属の結晶性制御 -早大などが新技術-,化学工業日報,2007年12月
  • 消費電力を1/10に -駆動能力が向上-,日経産業新聞,2007年12月
  • しきい値電圧制御に成功(セリートなど) -45ナノ世代以降の次世代トランジスタ-,日刊工業新聞,2007年06月
  • 金属・高誘電率膜の界面現象 電子レベルで解明,日刊工業新聞,2005年12月