Education 1
-
Osaka University
- 1993
Osaka University
- 1993
IEEE Region 10 Student Activities Coordinator (ExCom Member) Academic society
2009 -
IEEE Region 10 Student Activities Coordinator Academic society
2009 -
IEEE Board of Governors, IEEE Circuits and Systems Society Academic society
2007 -
IEEE Board of Governors, IEEE Circuits and Systems Society Academic society
2007 -
IEEE Student Branch Counselor Academic society
2002 -
IEEE Student Branch Counselor Academic society
2002 -
電子情報通信学会 正員 Academic society
IEEE Member Academic society
ACM Voting Member Academic society
IEEE Member Academic society
電子情報通信学会
IEEE
ACM
IEEE
Manufacturing technology (mechanical, electrical/electronic, chemical engineering) / Electronic devices and equipment /
第21回電気通信普及財団賞テレコムシステム技術賞
2006
IEEE International Symposium on Consumer Electronics 2004 Best Paper Award
2004
IEEE International Symposium on Consumer Electronics 2004 Best Paper Award
2004
Operation Shuffling with Multiple S-Boxes Against Power Analysis Attacks
Shota Kajiya, Hiroki Nishikawa, Kota Yoshida, Ittetsu Taniguchi, Takao Onoye
2025 International Conference on Electronics, Information, and Communication (ICEIC) p. 1-4 2025/01/19 Research paper (international conference proceedings)
Publisher: IEEEEnhancing grid stability in PV systems: A novel ramp rate control method utilizing PV cooling technology
Koki Iwabuchi, Daichi Watari, Dafang Zhao, Ittetsu Taniguchi, Francky Catthoor, Takao Onoye
Applied Energy 2025/01 Research paper (scientific journal)
Japanese Vowel-mora Visualization for Dysarthria Rehabilitation with Variational Autoencoder
Ryuhei Michizoe, Hiroko Kinosada, Hiroki Nishikawa, Ittetsu Taniguchi, Kazuhide Matsunaga, Narikazu Uzawa, Takao Onoye
2024 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS) p. 494-498 2024/11/07 Research paper (international conference proceedings)
Publisher: IEEEModel Predictive Control based Scheduling for an Electric Heat Pump Water Heating System via Photovoltaics
Shuhei Mitsunaga, Dafang Zhao, Hiroki Nishikawa, Ittetsu Taniguchi, Takao Onoye
Proceedings of the 11th ACM International Conference on Systems for Energy-Efficient Buildings, Cities, and Transportation p. 223-224 2024/10/29 Research paper (international conference proceedings)
Publisher: ACMIndoor Temperature Prediction for HVAC Energy Management using Smart Remote Controller
Ren Sasaki, Kenshiro Kato, Dafang Zhao, Hiroki Nishikawa, Ittetsu Taniguchi, Takao Onoye
Proceedings of the 11th ACM International Conference on Systems for Energy-Efficient Buildings, Cities, and Transportation p. 225-226 2024/10/29 Research paper (international conference proceedings)
Publisher: ACMComfort-Aware HVAC Aggregation for Enhancing Demand-Side Flexibility: Insights from an On-Site Experiment
Harrison Malfait, Dafang Zhao, Hiroki Nishikawa, Ittetsu Taniguchi, Toshihiro Suzuki, Takao Onoye
Proceedings of the 11th ACM International Conference on Systems for Energy-Efficient Buildings, Cities, and Transportation p. 227-228 2024/10/29 Research paper (international conference proceedings)
Publisher: ACMComputer-Vision-Oriented Adaptive Sampling in Compressive Sensing.
Luyang Liu, Hiroki Nishikawa, Jinjia Zhou, Ittetsu Taniguchi, Takao Onoye
Sensors Vol. 24 No. 13 p. 4348-4348 2024/07 Research paper (scientific journal)
Thermal Comfort-aware Aggregation via Multi-HVAC Systems for Demand-side Flexibility
Ren Sasaki, Shotaro Nonaka, Dafang Zhao, Hiroki Nishikawa, Ittetsu Taniguchi, Takao Onoye
The 15th ACM International Conference on Future and Sustainable Energy Systems 2024/05/31 Research paper (international conference proceedings)
Publisher: ACMOptimizing VRF System Operation for Energy Efficiency under Power Constraints: On-Site Experiment
Theint Theint Thu, Harrison Malfait, Kenshiro Kato, Dafang Zhao, Hiroki Nishikawa, Ittetsu Taniguchi, Takao Onoye
The 15th ACM International Conference on Future and Sustainable Energy Systems 2024/05/31 Research paper (international conference proceedings)
Publisher: ACMExtending Multi-time Scale Energy Management System to Support Wind Power Generation
Shuhei Mitsunaga, Dafang Zhao, Hiroki Nishikawa, Ittetsu Taniguchi, Takao Onoye
The 15th ACM International Conference on Future and Sustainable Energy Systems 2024/05/31 Research paper (international conference proceedings)
Publisher: ACMEvaluation of Deep Learning-Based Non-Intrusive Thermal Load Monitoring
Kazuki Okazawa, Naoya Kaneko, Dafang Zhao, Hiroki Nishikawa, Ittetsu Taniguchi, Francky Catthoor, Takao Onoye
Energies Vol. 17 No. 9 p. 2012-2012 2024/04/24 Research paper (scientific journal)
Publisher: MDPI AGMulti-class Urinary Sediment Particles Detection based on YOLOv7 with Attention Modules
Tatsuki Komori, Hiroki Nishikawa, Keita Sasaki, Ittetsu Taniguchi, Takao Onoye
IEEE Access p. 1-1 2024 Research paper (scientific journal)
Publisher: Institute of Electrical and Electronics Engineers (IEEE)A Platform for Evaluation of Synthetic Reflected Sounds on 3D Sound Localization
Yoshinori Kamizono, Wataru Kobayashi, Ittetsu Taniguchi, Hiroki Nishikawa, Takao Onoye
IEEE Access p. 1-1 2024 Research paper (scientific journal)
Publisher: Institute of Electrical and Electronics Engineers (IEEE)Adaptive Sampling for Computer Vision-Oriented Compressive Sensing
Luyang Liu, Hiroki Nishikawa, Jinjia Zhou, Ittetsu Taniguchi, Takao Onoye
ACM Multimedia Asia 2023 2023/12/06 Research paper (international conference proceedings)
Publisher: ACMData-driven online energy management framework for HVAC systems: An experimental study
Dafang Zhao, Daichi Watari, Yuki Ozawa, Ittetsu Taniguchi, Toshihiro Suzuki, Yoshiyuki Shimoda, Takao Onoye
Applied Energy Vol. 352 p. 121921-121921 2023/12 Research paper (scientific journal)
Publisher: Elsevier BVRNN-based Non-Intrusive Thermal Load Disaggregation and Forecasting for HVAC Systems
Naoya Kaneko, Kazuki Okazawa, Dafang Zhao, Hiroki Nishikawa, Ittetsu Taniguchi, Takao Onoye
Proceedings of the 10th ACM International Conference on Systems for Energy-Efficient Buildings, Cities, and Transportation 2023/11/15 Research paper (international conference proceedings)
Publisher: ACMComfort-aware HVAC Aggregation Method based on Deep Reinforcement Learning
Shotaro Nonaka, Ittetsu Taniguchi, Hiroki Nishikawa, Dafang Zhao, Francky Catthoor, Takao Onoye
Proceedings of the 10th ACM International Conference on Systems for Energy-Efficient Buildings, Cities, and Transportation 2023/11/15 Research paper (international conference proceedings)
Publisher: ACMDANS: Deep Attention Network for Single Image Super-Resolution
Jagrati Talreja, Supavadee Aramvith, Takao Onoye
IEEE Access Vol. 11 p. 84379-84397 2023/08 Research paper (scientific journal)
Data-driven HVAC Control Using Symbolic Regression: Design and Implementation
Yuki Ozawa, Dafang Zhao, Daichi Watari, Ittetsu Taniguchi, Toshihiro Suzuki, Yoshiyuki Shimoda, Takao Onoye
2023 IEEE Power & Energy Society General Meeting (PESGM) 2023/07/16 Research paper (international conference proceedings)
Publisher: IEEEMulti-FusNet of Cross Channel Network for Image Super-Resolution
Watchara Ruangsang, Supavadee Aramvith, Takao Onoye
IEEE Access Vol. 11 p. 56287-56299 2023/06 Research paper (scientific journal)
Duck Curve Aware Dynamic Pricing and Battery Scheduling Strategy Using Reinforcement Learning
Daichi Watari, Ittetsu Taniguchi, Takao Onoye
IEEE Transactions on Smart Grid p. 1-1 2023/06 Research paper (scientific journal)
Publisher: Institute of Electrical and Electronics Engineers (IEEE)Exploring of Recursive Model-based Non-Intrusive Thermal Load Monitoring for Building Cooling Load.
Kazuki Okazawa, Naoya Kaneko, Dafang Zhao, Hiroki Nishikawa, Ittetsu Taniguchi, Takao Onoye
e-Energy (Companion) p. 120-124 2023/06 Research paper (international conference proceedings)
Exploring Models of Electricity Price Forecasting: Case Study on A FCAS Market.
Kenshiro Kato, Koki Iwabuchi, Daichi Watari, Dafang Zhao, Hiroki Nishikawa, Ittetsu Taniguchi, Takao Onoye
e-Energy (Companion) p. 115-119 2023/06 Research paper (international conference proceedings)
Live Demonstration: In-Vehicle Auditory Signal Evaluation Platform in A Driving Simulator
Fuma Sawa, Yoshinori Kamizono, Wataru Kobayashi, Ittetsu Taniguchi, Hiroki Nishikawa, Takao Onoye
2023 IEEE International Symposium on Circuits and Systems (ISCAS) 2023/05/21 Research paper (international conference proceedings)
Publisher: IEEESENext: Squeeze-and-ExcitationNext for Single Image Super-Resolution
Wazir Muhammad, Supavadee Aramvith, Takao Onoye
IEEE Access Vol. 11 p. 45989-46003 2023/05 Research paper (scientific journal)
Online Energy Management Framework for Smart Buildings with Low-Complexity Estimators
Daichi Watari, Charalampos Marantos, Ittetsu Taniguchi, Francky Catthoor, Kostas Siozios, Dimitrios Soudris, Takao Onoye
IEEE Embedded Systems Letters p. 1-1 2023/05 Research paper (scientific journal)
Publisher: Institute of Electrical and Electronics Engineers (IEEE)Thermal-Comfort Aware Online Co-Scheduling Framework for HVAC, Battery Systems, and Appliances in Smart Buildings
Daichi WATARI, Ittetsu TANIGUCHI, Francky CATTHOOR, Charalampos MARANTOS, Kostas SIOZIOS, Elham SHIRAZI, Dimitrios SOUDRIS, Takao ONOYE
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences Vol. E106.A No. 5 p. 698-706 2023/05/01 Research paper (scientific journal)
Publisher: Institute of Electronics, Information and Communications Engineers (IEICE)Improvement of YOLOv7 with Attention Modules for Urinary Sediment Particle Detection.
Tatsuki Komori, Hiroki Nishikawa, Ittetsu Taniguchi, Takao Onoye
BioCAS p. 1-5 2023 Research paper (international conference proceedings)
An In-Vehicle Auditory Signal Evaluation Platform based on A Driving Simulator
Fuma SAWA, Yoshinori KAMIZONO, Wataru KOBAYASHI, Ittetsu TANIGUCHI, Hiroki NISHIKAWA, Takao ONOYE
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences 2023 Research paper (scientific journal)
Publisher: Institute of Electronics, Information and Communications Engineers (IEICE)EV Aggregation Framework for Spatiotemporal Energy Shifting to Reduce Solar Energy Waste
Kenshiro KATO, Daichi WATARI, Ittetsu TANIGUCHI, Takao ONOYE
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences Vol. E106.A No. 1 p. 54-63 2023/01/01 Research paper (scientific journal)
Publisher: Institute of Electronics, Information and Communications Engineers (IEICE)Deep reinforcement learning-based SOH-aware battery management for DER aggregation
Shotaro Nonaka, Daichi Watari, Ittetsu Taniguchi, Takao Onoye
Proceedings of the 9th ACM International Conference on Systems for Energy-Efficient Buildings, Cities, and Transportation 2022/11/09 Research paper (international conference proceedings)
Publisher: ACMFlexible electricity price forecasting by switching mother wavelets based on wavelet transform and Long Short-Term Memory
Koki Iwabuchi, Kenshiro Kato, Daichi Watari, Ittetsu Taniguchi, Francky Catthoor, Elham Shirazi, Takao Onoye
Energy and AI Vol. 10 p. 100192-100192 2022/11 Research paper (scientific journal)
Publisher: Elsevier BVAn Evaluation of Electricity Demand Forecasting Models for Smart Energy Management Systems.
Naoya Kaneko, Koki Iwabuchi, Kenshiro Kato, Daichi Watari, Dafang Zhao, Ittetsu Taniguchi, Hiroki Nishikawa, Takao Onoye
ISOCC p. 195-196 2022/10 Research paper (international conference proceedings)
Scheduling for Multiple HVAC Systems with Electrical Power Allocation.
Kenshiro Kato, Daichi Watari, Dafang Zhao, Hiroki Nishikawa, Ittetsu Taniguchi, Takao Onoye
GCCE p. 226-227 2022/10 Research paper (international conference proceedings)
A Thermal Comfort and Peak Power Demand Aware VRF Heating/Cooling Management Framework: Simulation and On-site Experiment
Dafang Zhao, Daichi Watari, Yuki Ozawa, Ittetsu Taniguchi, Toshihiro Suzuki, Yoshiyuki Shimoda, Takao Onoye
Journal of Information Processing Vol. 30 p. 476-485 2022/04 Research paper (scientific journal)
Publisher: Information Processing Society of JapanLow complexity mode selection for H.266/VVC intra coding
Ei Ei Tun, Supavadee Aramvith, Takao Onoye
ICT Express Vol. 8 No. 1 p. 83-90 2022/03 Research paper (scientific journal)
A Low-cost Privacy Concerning Occupancy Estimation System for HVAC Control
Dafang Zhao, Ittetsu Taniguchi, Takao Onoye
2022 International Conference on Electronics, Information, and Communication, ICEIC 2022 2022 Research paper (international conference proceedings)
Novel object motion estimation method for industrial vision systems in aligning machines
Qiaochu Zhao, Ittetsu Taniguchi, Takao Onoye
Journal of Industrial Information Integration Vol. 25 p. 100295-100295 2022/01 Research paper (scientific journal)
Publisher: Elsevier BVImproving duck curve by dynamic pricing and battery scheduling based on a deep reinforcement learning approach
Daichi Watari, Ittetsu Taniguchi, Takao Onoye
Proceedings of the 8th ACM International Conference on Systems for Energy-Efficient Buildings, Cities, and Transportation 2021/11/17 Research paper (international conference proceedings)
Publisher: ACMVisual Question Answering with Textual Representations for Images
Yusuke Hirota, Noa Garcia, Mayu Otani, Chenhui Chu, Yuta Nakashima, Ittetsu Taniguchi, Takao Onoye
2021 IEEE/CVF International Conference on Computer Vision Workshops (ICCVW) 2021/10 Research paper (international conference proceedings)
Publisher: IEEEMulti-scale Xception based depthwise separable convolution for single image superresolution
Wazir Muhammad, Supavadee Aramvith, Takao Onoye
PLoS ONE Vol. 16 No. 8 August 2021/08 Research paper (scientific journal)
Multi-time scale energy management framework for smart PV systems mixing fast and slow dynamics
Daichi Watari, Ittetsu Taniguchi, Hans Goverde, Patrizio Manganiello, Elham Shirazi, Francky Catthoor, Takao Onoye
APPLIED ENERGY Vol. 289 2021/05 Research paper (scientific journal)
Experimental Evaluation of Reduction Technique for Time Delay of Turning Off Light to Occupancy Lighting Control
Takuya Futagami, Noboru Hayasaka, Ittetsu Taniguchi, Takao Onoye
IEEJ Transactions on Electrical and Electronic Engineering Vol. 16 No. 2 p. 265-274 2021/02 Research paper (scientific journal)
An Approach to Detect Anomaly in Video Using Deep Generative Network
Savath Saypadith, Takao Onoye
IEEE Access Vol. 9 p. 150903-150910 2021 Research paper (scientific journal)
Publisher: Institute of Electrical and Electronics Engineers ({IEEE})A Picture May Be Worth a Hundred Words for Visual Question Answering.
Yusuke Hirota, Noa Garcia, Mayu Otani, Chenhui Chu, Yuta Nakashima, Ittetsu Taniguchi, Takao Onoye
CoRR Vol. abs/2106.13445 2021 Research paper (scientific journal)
Video Anomaly Detection Based on Deep Generative Network.
Savath Saypadith, Takao Onoye
Proceedings - IEEE International Symposium on Circuits and Systems Vol. 2021-May p. 1-5 2021 Research paper (international conference proceedings)
Publisher: IEEEThermal Comfort Aware Online Energy Management Framework for a Smart Residential Building.
Daichi Watari, Ittetsu Taniguchi, Francky Catthoor, Charalampos Marantos, Kostas Siozios, Elham Shirazi, Dimitrios Soudris, Takao Onoye
Proceedings -Design, Automation and Test in Europe, DATE Vol. 2021-February p. 535-538 2021 Research paper (international conference proceedings)
Publisher: IEEEOnline management framework for building HVAC systems considering peak shaving and thermal comfort: an experimental study.
Dafang Zhao, Daichi Watari, Yuki Ozawa, Ittetsu Taniguchi, Toshihiro Suzuki, Sumio Shiochi, Yoshiyuki Shimoda, Takao Onoye
9th Workshop on Modeling and Simulation of Cyber-Physical Energy Systems, MSCPES 2021, Held as part of the Cyber-Physical Systems and Internet-of-Things Week, Proceedings p. 10-7 2021 Research paper (international conference proceedings)
Publisher: ACMA Case Study on FPGA Implementation of Parts Counting Orientation Recognition Method for Industrial Vision System.
Qiaochu Zhao, Ittetsu Taniguchi, Takao Onoye
IPSJ Trans. Syst. LSI Des. Methodol. Vol. 14 p. 21-23 2021 Research paper (scientific journal)
Message from General Chairs
Takao Onoye, Kosin Chamnongthai
IEEE Region 10 Annual International Conference, Proceedings/TENCON Vol. 2020- 2020/11/16 Research paper (international conference proceedings)
Publisher: Institute of Electrical and Electronics Engineers Inc.Magic Line: An Integrated Method for Fast Parts Counting and Orientation Recognition Using Industrial Vision Systems
Qiaochu ZHAO, Ittetsu TANIGUCHI, Makoto NAKAMURA, Takao ONOYE
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences Vol. E103.A No. 7 p. 928-936 2020/07/01 Research paper (scientific journal)
Publisher: Institute of Electronics, Information and Communications Engineers (IEICE)Performance comparison of saliency detection methods for food region extraction
Takuya Futagami, Noboru Hayasaka, Takao Onoye
PervasiveHealth: Pervasive Computing Technologies for Healthcare p. 1-4 2020/06/26 Research paper (international conference proceedings)
SOH Aware System-Level Battery Management Methodology for Decentralized Energy Network
Daichi WATARI, Ittetsu TANIGUCHI, Takao ONOYE
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences Vol. E103.A No. 3 p. 596-604 2020/03/01 Research paper (scientific journal)
Publisher: Institute of Electronics, Information and Communications Engineers (IEICE)CoiLED Display: Make Everything Displayable.
Saya Suzunaga, Yuichi Itoh, Kazuyuki Fujita, Ryo Shirai, Takao Onoye
SIGGRAPH Asia 2020 Emerging Technologies, SA 2020 p. 15-2 2020 Research paper (international conference proceedings)
Publisher: ACMAn Evaluation of Edge Computing Platform for Reliable Automated Drones.
Jo Yoshimoto, Ittetsu Taniguchi, Hiroyuki Tomiyama, Takao Onoye
2020 17TH INTERNATIONAL SOC DESIGN CONFERENCE (ISOCC 2020) p. 95-96 2020 Research paper (international conference proceedings)
Parallelization of Local Path Planning for High Reliable Autonomous Drones.
Yusuke Hirota, Ittetsu Taniguchi, Takao Onoye
Proceedings - International SoC Design Conference, ISOCC 2020 p. 67-68 2020 Research paper (international conference proceedings)
Publisher: IEEEStickyTouch: A Tactile Display with Changeable Adhesive Distribution.
Yoshitaka Ishihara, Yuichi Itoh, Ryo Shirai, Kazuyuki Fujita, Kazuki Takashima, Takao Onoye
IEEE Haptics Symposium, HAPTICS Vol. 2020-March p. 842-847 2020 Research paper (international conference proceedings)
Publisher: IEEEA template-free object motion estimation method for industrial vision system in aligning machine.
Qiaochu Zhao, Ittetsu Taniguchi, Takao Onoye
2020 25TH IEEE INTERNATIONAL CONFERENCE ON EMERGING TECHNOLOGIES AND FACTORY AUTOMATION (ETFA) p. 1227-1230 2020 Research paper (international conference proceedings)
TuVe: A Shape-changeable Display using Fluids in a Tube.
Saya Suzunaga, Yuichi Itoh, Yuki Inoue, Kazuyuki Fujita, Takao Onoye
PervasiveHealth: Pervasive Computing Technologies for Healthcare p. 34-9 2020 Research paper (international conference proceedings)
Publisher: ACMNon-Contact Respiration Monitoring and Body Movements Detection for Sleep Using Thermal Imaging.
Prasara Jakkaew, Takao Onoye
Sensors Vol. 20 No. 21 p. 6307-6307 2020 Research paper (scientific journal)
Acceleration of Automatic Building Extraction via Color-Clustering Analysis.
Masakazu Iwai, Takuya Futagami, Noboru Hayasaka, Takao Onoye
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. Vol. 103-A No. 12 p. 1599-1602 2020 Research paper (scientific journal)
A Node-Grouping Based Spatial Spectrum Reuse Method for WLANs in Dense Residential Scenarios.
Jin Liu, Masahide Hatanaka, Takao Onoye
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. Vol. 103-A No. 7 p. 917-927 2020 Research paper (scientific journal)
An Approach to Non-contact Monitoring of Respiratory Rate and Breathing Pattern Based on Slow Motion Images
Prasara Jakkaew, Takao Onoye
2019 IEEE International Conference on Consumer Electronics - Asia, ICCE-Asia 2019 p. 47-51 2019/06/01 Research paper (international conference proceedings)
Publisher: Institute of Electrical and Electronics Engineers Inc.An Adaptive Parts Counting Method based on Orthogonal Intensity Distribution Analysis for Industrial Vision Systems
Qiaochu Zhao, Ittetsu Taniguchi, Makoto Nakamura, Takao Onoye
2019 4TH IEEE INTERNATIONAL CONFERENCE ON CONSUMER ELECTRONICS - ASIA (IEEE ICCE-ASIA 2019) p. 118-119 2019 Research paper (international conference proceedings)
StickyTouch: An Adhesion Changeable Surface.
Yoshitaka Ishihara, Ryo Shirai, Yuichi Itoh, Kazuyuki Fujita, Takao Onoye
SIGGRAPH Asia 2019 Emerging Technologies p. 44-45 2019 Research paper (international conference proceedings)
Publisher: ACMActivation-Aware Slack Assignment for Time-to-Failure Extension and Power Saving.
Yutaka Masuda, Takao Onoye, Masanori Hashimoto
IEEE Trans. Very Large Scale Integr. Syst. Vol. 26 No. 11 p. 2217-2229 2018/11 Research paper (scientific journal)
OptRod
Ryo Shirai, Yuichi Itoh, Shori Ueda, Takao Onoye
The 31st Annual ACM Symposium on User Interface Software and Technology Adjunct Proceedings 2018/10/11 Research paper (international conference proceedings)
Publisher: ACM42-2: Invited Paper: OptRod: A Shape-Free and Multi-Functional Display System Operated by Projected Images
Yuichi Itoh, Ryo Shirai, Shori Ueda, Taichi Fukamachi, Mayu Yamashita, Takao Onoye
SID Symposium Digest of Technical Papers Vol. 49 No. 1 p. 532-535 2018/05 Research paper (scientific journal)
Publisher: WileySoH Aware Battery Management Optimization on Decentralized Energy Network
Daichi Watari, Ittetsu Taniguchi, Takao Onoye
2018 ACM/IEEE 9th International Conference on Cyber-Physical Systems (ICCPS) 2018/04 Research paper (international conference proceedings)
Publisher: IEEEOptRod: Constructing Interactive Surface with Multiple Functions and Flexible Shape by Projected Image.
Ryo Shirai, Yuichi Itoh, Shori Ueda, Takao Onoye
The 31st Annual ACM Symposium on User Interface Software and Technology Adjunct Proceedings p. 169-171 2018 Research paper (international conference proceedings)
Publisher: ACMTuVe: a flexible display with a tube.
Yuki Inoue, Yuichi Itoh, Takao Onoye
SIGGRAPH Asia 2018 Emerging Technologies p. 16-2 2018 Research paper (international conference proceedings)
Publisher: ACMFusion Networks for Air-Writing Recognition.
Buntueng Yana, Takao Onoye
MultiMedia Modeling - 24th International Conference p. 142-152 2018 Research paper (international conference proceedings)
Publisher: SpringerAir-Writing Recognition Based on Fusion Network for Learning Spatial and Temporal Features.
Buntueng Yana, Takao Onoye
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. Vol. 101-A No. 11 p. 1737-1744 2018 Research paper (scientific journal)
Hardware Architecture for High-Speed Object Detection Using Decision Tree Ensemble.
Koichi Mitsunari, Jaehoon Yu, Takao Onoye, Masanori Hashimoto
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. Vol. 101-A No. 9 p. 1298-1307 2018 Research paper (scientific journal)
An analytic evaluation on soft error immunity enhancement due to temporal triplication
Ryutaro Doi, Masanori Hashimoto, Takao Onoye
International Journal of Embedded Systems Vol. 10 No. 1 p. 22-31 2018 Research paper (scientific journal)
Publisher: Inderscience Enterprises Ltd.OptRod
Ryo Shirai, Yuichi Itoh, Taichi Fukamachi, Mayu Yamashita, Takao Onoye
SIGGRAPH Asia 2017 Emerging Technologies 2017/11/27 Research paper (international conference proceedings)
Publisher: ACMPerformance Evaluation of Software-Based Error Detection Mechanisms for Supply Noise Induced Timing Errors
Yutaka Masuda, Takao Onoye, Masanori Hashimoto
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E100A No. 7 p. 1452-1463 2017/07 Research paper (scientific journal)
GPGPU-based Highly Parallelized 3D Node Localization for Real-Time 3D Model Reproduction.
Kauzki Hirosue, Shohei Ukawa, Yuichi Itoh, Takao Onoye, Masanori Hashimoto
Proceedings of the 22nd International Conference on Intelligent User Interfaces(IUI) p. 173-178 2017/03 Research paper (international conference proceedings)
Publisher: ACMOptRod: operating multiple various actuators simultaneously by projected images.
Ryo Shirai, Yuichi Itoh, Taichi Fukamachi, Mayu Yamashita, Takao Onoye
SIGGRAPH Asia 2017 Emerging Technologies p. 11-2 2017 Research paper (international conference proceedings)
Publisher: ACM確率的回路寿命予測手法の計算安定性と確率取り扱いの妥当性に関する考察
佐藤雅紘, 増田豊, 飯塚翔一, 尾上孝雄, 橋本昌宜
2016/09 Research paper (other academic)
Hardware-simulation correlation of timing error detection performance of software-based error detection mechanisms.
Yutaka Masuda, Masanori Hashimoto, Takao Onoye
22nd IEEE International Symposium on On-Line Testing and Robust System Design(IOLTS) p. 84-89 2016/07 Research paper (international conference proceedings)
Publisher: IEEEKetsuro-Graffiti: An Interactive Display with Water Condensation.
Yuki Tsujimoto, Yuichi Itoh, Takao Onoye
Proceedings of the 2016 ACM on Interactive Surfaces and Spaces(ISS) p. 49-55 2016 Research paper (international conference proceedings)
Publisher: ACMCritical Path Isolation for Time-to-Failure Extension and Lower Voltage Operation
Yutaka Masuda, Masanori Hashimoto, Takao Onoye
2016 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD) p. 63-63 2016 Research paper (international conference proceedings)
Device-Parameter Estimation with Sensitivity-Configurable Ring Oscillator
Shoichi Iizuka, Yuma Higuchi, Masanori Hashimoto, Takao Onoye
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E98A No. 12 p. 2607-2613 2015/12 Research paper (scientific journal)
Proximity distance estimation based on electric field communication between 1 mm(3) sensor nodes
Tatsuya Shinada, Masanori Hashimoto, Takao Onoye
ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING Vol. 85 No. 3 p. 425-432 2015/12 Research paper (scientific journal)
Ketsuro-graffiti: A canvas with computer generated water condensation
Yuki Tsujimoto, Yuichi Itoh, Takao Onoye
SIGGRAPH Asia 2015 Emerging Technologies, SA 2015 2015/11/02 Research paper (international conference proceedings)
Publisher: Association for Computing Machinery, IncSpecial section on smart multimedia & communication systems
Hirokazu Tanaka, Takao Onoye
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences Vol. E98A No. 11 p. 2209-2210 2015/11/01 Research paper (scientific journal)
Publisher: Maruzen Co., Ltd.電源ノイズ起因タイミング故障のデバッグにおけるC 言語ベース故障検出 手法の有効性評価
増田豊, 橋本昌宜, 尾上孝雄
情報処理学会DA シンポジウム2015論文集 p. 193-198 2015/08 Research paper (conference, symposium, etc.)
Characterizing Alpha- and Neutron-Induced SEU and MCU on SOTB and Bulk 0.4-V SRAMs
Soichi Hirokawa, Ryo Harada, Masanori Hashimoto, Takao Onoye
IEEE TRANSACTIONS ON NUCLEAR SCIENCE Vol. 62 No. 2 p. 420-427 2015/04 Research paper (scientific journal)
An oscillator-based true random number generator with process and temperature tolerance
Takehiko Amaki, Masanori Hashimoto, Takao Onoye
20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015 p. 4-5 2015/03/11 Research paper (international conference proceedings)
Publisher: Institute of Electrical and Electronics Engineers Inc.Reliability-Configurable Mixed-Grained Reconfigurable Array Compatible with High-Level Synthesis
Masanori Hashimoto, Dawood Alnajjar, Hiroaki Konoura, Yukio Mitsuyama, Hajime Shimada, Kazutoshi Kobayashi, Hiroyuki Kanbara, Hiroyuki Ochi, Takashi Imagawa, Kazutoshi Wakabayashi, Takao Onoye, Hidetoshi Onodera
Proc. of 20th Asia and South Pacific Design Automation Conference (ASP-DAC 2015) p. 14-15 2015/01/19 Research paper (international conference proceedings)
Publisher: IEEEDesign of Generic Hardware for Soft Cascade-Based Linear SVM Classification
Eric Aliwarga, Jaehoon Yu, Masahide Hatanaka, Takao Onoye
2015 INTERNATIONAL SYMPOSIUM ON INTELLIGENT SIGNAL PROCESSING AND COMMUNICATION SYSTEMS (ISPACS) p. 257-262 2015 Research paper (international conference proceedings)
Hierarchical Structure-Based Fast Mode Decision for H.265/HEVC.
Wenjun Zhao 0001, Takao Onoye, Tian Song
IEEE Trans. Circuits Syst. Video Technol. Vol. 25 No. 10 p. 1651-1664 2015 Research paper (scientific journal)
Implementation of Viterbi Decoder toward GPU-Based SDR Receiver.
Kosuke Tomita, Masahide Hatanaka, Takao Onoye
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. Vol. 98-A No. 11 p. 2246-2253 2015 Research paper (scientific journal)
Foreword.
Hirokazu Tanaka, Takao Onoye
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. Vol. 98-A No. 11 p. 2209-2210 2015 Research paper (scientific journal)
Hardware Architecture of the Fast Mode Decision Algorithm for H.265/HEVC.
Wenjun Zhao 0001, Takao Onoye, Tian Song
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. Vol. 98-A No. 8 p. 1787-1795 2015 Research paper (scientific journal)
Partial Encryption Method That Enhances MP3 Security.
Twe Ta Oo, Takao Onoye, Kilho Shin
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. Vol. 98-A No. 8 p. 1760-1768 2015 Research paper (scientific journal)
3D Node Localization from Node-to-Node Distance Information using Cross-Entropy Method
Shohei Ukawa, Tatsuya Shinada, Masanori Hashimoto, Yuichi Itoh, Takao Onoye
2015 IEEE VIRTUAL REALITY CONFERENCE (VR) p. 303-304 2015 Research paper (international conference proceedings)
Area Efficient Device-Parameter Estimation using Sensitivity-Configurable Ring Oscillator
Shoichi Iizuka, Yuma Higuchi, Masanori Hashimoto, Takao Onoye
2015 20TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC) p. 731-736 2015 Research paper (international conference proceedings)
Real-time On-chip Supply Voltage Sensor and Its Application to Trace-based Timing Error Localization
Miho Ueno, Masanori Hashimoto, Takao Onoye
2015 IEEE 21ST INTERNATIONAL ON-LINE TESTING SYMPOSIUM (IOLTS) p. 188-193 2015 Research paper (international conference proceedings)
Framework of Surveillance Video Analysis and Transmission System using Background Modeling and MIMO-OFDM
Rhandley D. Cajote, Yoshikazu Miyanaga, Watchara Ruangsang, Supavadee Aramvith, Prasit Prapinmongkolkarn, Takao Onoye
2015 IEEE INTERNATIONAL CONFERENCE ON DIGITAL SIGNAL PROCESSING (DSP) p. 1071-1075 2015 Research paper (international conference proceedings)
Stochastic Timing Error Rate Estimation under Process and Temporal Variations
Shoichi Iizuka, Yutaka Masuda, Masanori Hashimoto, Takao Onoye
2015 IEEE INTERNATIONAL TEST CONFERENCE (ITC) 2015 Research paper (international conference proceedings)
Performance Evaluation of Software-based Error Detection Mechanisms for Localizing Electrical Timing Failures under Dynamic Supply Noise
Yutaka Masuda, Masanori Hashimoto, Takao Onoye
2015 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD) p. 315-322 2015 Research paper (international conference proceedings)
Wireless video transmission over MIMO-OFDM using background modeling for video surveillance applications.
Rhandley Domingo, Cajote, Watchara Ruangsang, Supavadee Aramvith, Prasit Prapinmongkolkarn, Yoshikazu Miyanaga, Takao Onoye
15th International Symposium on Communications and Information Technologies, ISCIT 2015, Nara, Japan, October 7-9, 2015 p. 237-240 2015
Publisher: IEEEFeasibility evaluation on an instant invader detection system with ultrasonic sensors scattered on the ground
Ami Iokibe, Masanori Hashimoto, Takao Onoye
International Journal on Smart Sensing and Intelligent Systems Vol. 7 No. 5 2014/12/01 Research paper (scientific journal)
Publisher: Exeley Inc.A Process and Temperature Tolerant Oscillator-Based True Random Number Generator
Takehiko Amaki, Masanori Hashimoto, Takao Onoye
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E97A No. 12 p. 2393-2399 2014/12 Research paper (scientific journal)
Reliability-Configurable Mixed-Grained Reconfigurable Array Supporting C-Based Design and Its Irradiation Testing
Hiroaki Konoura, Dawood Alnajjar, Yukio Mitsuyama, Hajime Shimada, Kazutoshi Kobayashi, Hiroyuki Kanbara, Hiroyuki Ochi, Takashi Imagawa, Kazutoshi Wakabayashi, Masanori Hashimoto, Takao Onoye, Hidetoshi Onodera
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E97A No. 12 p. 2518-2529 2014/12 Research paper (scientific journal)
Ketsuro-graffiti: Water condensation display
Yohei Miyazaki, Yuichi Itoh, Yuki Tsujimoto, Masahiro Ando, Takao Onoye
ACM International Conference Proceeding Series Vol. 2014- 2014/11/11 Research paper (international conference proceedings)
Publisher: Association for Computing MachineryMeasurement of length of a single tooth using PCA-signature and bezier curve
Pramual Choorat, Werapon Chiracharit, Kosin Chamnongthai, Takao Onoye
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences Vol. E97A No. 11 p. 2161-2169 2014/11/01 Research paper (international conference proceedings)
Publisher: Maruzen Co., Ltd.Performance Evaluation of Software-based Quick Error Detection Technique for Localizing Electrical Failures due to Dynamic Power Supply Noise
Vol. 2014 p. 203-208 2014/08/21 Research paper (conference, symposium, etc.)
SET Pulse-Width Measurement Suppressing Pulse-Width Modulation and Within-Die Process Variation Effects
Ryo Harada, Yukio Mitsuyama, Masanori Hashimoto, Takao Onoye
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E97A No. 7 p. 1461-1467 2014/07 Research paper (scientific journal)
NBTI Mitigation Method by Inputting Random Scan-In Vectors in Standby Time
Hiroaki Konoura, Toshihiro Kameda, Yukio Mitsuyama, Masanori Hashimoto, Takao Onoye
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E97A No. 7 p. 1483-1491 2014/07 Research paper (scientific journal)
Comparative Evaluation of Lifetime Enhancement with Fault Avoidance on Dynamically Reconfigurable Devices
Hiroaki Konoura, Takashi Imagawa, Yukio Mitsuyama, Masanori Hashimoto, Takao Onoye
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E97A No. 7 p. 1468-1482 2014/07 Research paper (scientific journal)
Measurement and Analysis of Alpha-Particle-Induced Soft Errors and Multiple-Cell Upsets in 10T Subthreshold SRAM
Hiroshi Fuketa, Ryo Harada, Masanori Hashimoto, Takao Onoye
IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY Vol. 14 No. 1 p. 463-470 2014/03 Research paper (scientific journal)
Feasibility evaluation on an instant invader detection system with ultrasonic sensors scattered on the ground
Ami Iokibe, Masanori Hashimoto, Takao Onoye
Proceedings of the International Conference on Sensing Technology, ICST Vol. 2014- p. 188-193 2014 Research paper (international conference proceedings)
Publisher: IEEE Computer SocietyProgressive Audio Scrambling Via Wavelet Transform
Twe Ta Oo, Takao Onoye
2014 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS (APCCAS) p. 97-100 2014 Research paper (international conference proceedings)
Mixed-Grained Reconfigurable Architecture Supporting Flexible Reliability and C-Based Design
Hiroaki Konoura, Dawood Alnajjar, Yukio Mitsuyama, Hiroyuki Ochi, Takashi Imagawa, Shinichi Noda, Kazutoshi Wakabayashi, Masanori Hashimoto, Takao Onoye
Proc. of 2013 International Conference on ReConFigurable Computing and FPGAs (ReConFig 2013) p. 1-6 2013/12/09
A Single Tooth Segmentation Using PCA-Stacked Gabor Filter and Active Contour
Pramual Choorat, Werapon Chiracharit, Kosin Chamnongthai, Takao Onoye
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E96A No. 11 p. 2169-2178 2013/11 Research paper (scientific journal)
Reliability-configurable mixed-grained reconfigurable array supporting C-to-array mapping and its radiation testing
D. Alnajjar, H. Konoura, Y. Mitsuyama, H. Shimada, K. Kobayashi, H. Kanbara, H. Ochi, T. Imagawa, S. Noda, K. Wakabayashi, M. Hashimoto, T. Onoye, H. Onodera
Proceedings of the 2013 IEEE Asian Solid-State Circuits Conference, A-SSCC 2013 p. 313-316 2013/11 Research paper (international conference proceedings)
A gate-delay model focusing on current fluctuation over wide range of process-voltage-temperature variations
Ken-Ichi Shinkai, Masanori Hashimoto, Takao Onoye
Integration, the VLSI Journal Vol. 46 No. 4 p. 345-358 2013/09 Research paper (scientific journal)
Field Slack Assessment for Predictive Fault Avoidance on Coarse-Grained Reconfigurable Devices
Toshihiro Kameda, Hiroaki Konoura, Dawood Alnajjar, Yukio Mitsuyama, Masanori Hashimoto, Takao Onoye
IEICE TRANSACTIONS ON INFORMATION AND SYSTEMS Vol. E96D No. 8 p. 1624-1631 2013/08 Research paper (scientific journal)
A Worst-Case-Aware Design Methodology for Noise-Tolerant Oscillator-Based True Random Number Generator With Stochastic Behavior Modeling
Takehiko Amaki, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye
IEEE TRANSACTIONS ON INFORMATION FORENSICS AND SECURITY Vol. 8 No. 8 p. 1331-1342 2013/08 Research paper (scientific journal)
Supply Noise Suppression by Triple-Well Structure
Yasuhiro Ogasahara, Masanori Hashimoto, Toshiki Kanamoto, Takao Onoye
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS Vol. 21 No. 4 p. 781-785 2013/04 Research paper (scientific journal)
Jitter Amplifier for Oscillator-Based True Random Number Generator
Takehiko Amaki, Masanori Hashimoto, Takao Onoye
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E96A No. 3 p. 684-696 2013/03 Research paper (scientific journal)
Signal-Dependent Analog-to-Digital Conversion Based on MINIMAX Sampling
Igors Homjakovs, Masanori Hashimoto, Tetsuya Hirose, Takao Onoye
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E96A No. 2 p. 459-468 2013/02 Research paper (scientific journal)
Impact of NBTI-Induced Pulse-Width Modulation on SET Pulse-Width measurement
Ryo Harada, Yukio Mitsuyama, Masanori Hashimoto, Takao Onoye
IEEE Transactions on Nuclear Science Vol. 60 No. 4 p. 2630-2634 2013 Research paper (scientific journal)
Speed-up of CoHOG-based pedestrian detection by stochastic sampling
Jaehoon Yu, Ryusuke Miyamoto, Takao Onoye
Journal of the Institute of Image Electronics Engineers of Japan Vol. 42 No. 1 p. 30-40 2013 Research paper (scientific journal)
Publisher: Institute of Image Electronics Engineers of JapanStochastic error rate estimation for adaptive speed control with field delay testing
Shoichi Iizuka, Masafumi Mizuno, Dan Kuroda, Masanori Hashimoto, Takao Onoye
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD p. 107-114 2013 Research paper (international conference proceedings)
Emoballoon: a Balloon-shaped Interface Recognizing Social Touch Interactions
Kosuke Nakajima, Yuichi Itoh, Yusuke Hayashi, Kazuaki Ikeda, Kazuyuki Fujita, Takao Onoye
2013 IEEE VIRTUAL REALITY CONFERENCE (VR) p. 182-197 2013 Research paper (international conference proceedings)
Implementing flexible reliability in a coarse-grained reconfigurable architecture
Dawood Alnajjar, Hiroaki Konoura, Younghun Ko, Yukio Mitsuyama, Masanori Hashimoto, Takao Onoye
IEEE Transactions on Very Large Scale Integration (VLSI) Systems Vol. 21 No. 12 p. 2165-2178 2013 Research paper (scientific journal)
PVT-induced timing error detection through replica circuits and time redundancy in reconfigurable devices
Alnajjar Dawood, Mitsuyama Yukio, Hashimoto Masanori, Onoye Takao
IEICE Electron. Express Vol. 10 No. 5 p. 20130081-20130081 2013
Publisher: The Institute of Electronics, Information and Communication EngineersReal-time supply voltage sensor for detecting/Debugging electrical timing failures
Miho Ueno, Masanori Hashimoto, Takao Onoye
Proceedings - IEEE 27th International Parallel and Distributed Processing Symposium Workshops and PhD Forum, IPDPSW 2013 p. 301-305 2013 Research paper (international conference proceedings)
Publisher: IEEE Computer SocietyA process and temperature tolerant oscillator-based true random number generator with dynamic 0/1 bias correction
Takehiko Amaki, Masanori Hashimoto, Takao Onoye
Proceedings of the 2013 IEEE Asian Solid-State Circuits Conference, A-SSCC 2013 p. 133-136 2013 Research paper (international conference proceedings)
Stochastic Error Rate Estimation for Adaptive Speed Control with Field Delay Testing
Shoichi Iizuka, Masafumi Mizuno, Dan Kuroda, Masanori Hashimoto, Takao Onoye
2013 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD) p. 107-114 2013 Research paper (international conference proceedings)
Feasibility Evaluation of Near-Field Communication in Clay with 1-mm(3) Antenna
Jin Kono, Masanori Hashimoto, Takao Onoye
2013 ASIA-PACIFIC MICROWAVE CONFERENCE PROCEEDINGS (APMC 2013) p. 1121-1123 2013 Research paper (international conference proceedings)
Proximity Distance Estimation based on Capacitive Coupling between 1mm(3) Sensor Nodes
Tatsuya Shinada, Masanori Hashimoto, Takao Onoye
2013 IEEE 11TH INTERNATIONAL NEW CIRCUITS AND SYSTEMS CONFERENCE (NEWCAS) 2013 Research paper (international conference proceedings)
User Interface Management System for Home Appliance
Nakanishi Masahiro, Hatanaka Masahide, Onoye Takao
The Journal of the Institute of Image Electronics Engineers of Japan Vol. 42 No. 1 p. 81-88 2013
Publisher: The Institute of Image Electronics Engineers of JapanA 0.8-V 110-nA CMOS current reference circuit using subthreshold operation
Igors Homjakovs, Tetsuya Hirose, Yuji Osaki, Masanori Hashimoto, Takao Onoye
IEICE Electronics Express Vol. 10 No. 4 p. 20130022, 1-6 2013
Publisher: IEICEPower Gating Implementation for Supply Noise Mitigation with Body-Tied Triple-Well Structure
Yasumichi Takai, Masanori Hashimoto, Takao Onoye
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E95A No. 12 p. 2220-2225 2012/12 Research paper (scientific journal)
A Body Bias Clustering Method for Low Test-Cost Post-Silicon Tuning
Shuta Kimura, Masanori Hashimoto, Takao Onoye
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E95A No. 12 p. 2292-2300 2012/12 Research paper (scientific journal)
An Approach for Deinterlacing using Cost Optimaization and Motion Detection History
Tatsuo Maeno, Hiroshi Tsutsui, Takao Onoye
IEICE Technical Report Vol. 112 No. 78 p. 77-82 2012/06
Adaptive Performance Compensation With In-Situ Timing Error Predictive Sensors for Subthreshold Circuits
Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS Vol. 20 No. 2 p. 333-343 2012/02 Research paper (scientific journal)
A predictive delay fault avoidance scheme for coarse-grained reconfigurable architecture
Toshihiro Kameda, Hiroaki Konoura, Dawood Alnajjar, Yukio Mitsuyama, Masanori Hashimoto, Takao Onoye
Proceedings - 22nd International Conference on Field Programmable Logic and Applications, FPL 2012 p. 615-618 2012 Research paper (international conference proceedings)
SET pulse-width measurement eliminating pulse-width modulation and within-die process variation effects
Ryo Harada, Yukio Mitsuyama, Masanori Hashimoto, Takao Onoye
IEEE International Reliability Physics Symposium Proceedings 2012 Research paper (international conference proceedings)
Cup-le : A Cup-Shaped Device for Conversational Experiment
Yusuke Hayashi, Yuichi Itoh, Kazuki Takashima, Kazuyuki Fujita, Kosuke Nakajima, Ikuo Daibo, Takao Onoye
IEEE VIRTUAL REALITY CONFERENCE 2012 PROCEEDINGS p. 36-37 2012 Research paper (international conference proceedings)
Halo Artifacts Reduction Method for Variational based Realtime Retinex Image Enhancement
Hiroshi Tsutsui, Satoshi Yoshikawa, Hiroyuki Okuhata, Takao Onoye
2012 ASIA-PACIFIC SIGNAL AND INFORMATION PROCESSING ASSOCIATION ANNUAL SUMMIT AND CONFERENCE (APSIPA ASC) 2012 Research paper (international conference proceedings)
A ray tracing simulation of sound diffraction based on the analytic secondary source model
Masashi Okada, Takao Onoye, Wataru Kobayashi
IEEE Transactions on Audio, Speech and Language Processing Vol. 20 No. 9 p. 2448-2460 2012 Research paper (scientific journal)
Static Voltage Over-scaling and Dynamic Voltage Variation Tolerance with Replica Circuits and Time Redundancy in Reconfigurable Devices
Dawood Alnajjar, Masanori Hashimoto, Takao Onoye, Yukio Mitsuyama
2012 INTERNATIONAL CONFERENCE ON RECONFIGURABLE COMPUTING AND FPGAS (RECONFIG) 2012 Research paper (international conference proceedings)
Body Bias Clustering for Low Test-Cost Post-Silicon Tuning
Shuta Kimura, Masanori Hashimoto, Takao Onoye
2012 17TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC) p. 283-289 2012 Research paper (international conference proceedings)
Signal-dependent analog-to-digital converter based on MINIMAX sampling
Igors Homjakovs, Masanori Hashimoto, Takao Onoye, Tetsuya Hirose
ISOCC 2012 - 2012 International SoC Design Conference p. 120-123 2012 Research paper (international conference proceedings)
Stress Probability Computation for Estimating NBTI-Induced Delay Degradation
Hiroaki Konoura, Yukio Mitsuyama, Masanori Hashimoto, Takao Onoye
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E94A No. 12 p. 2545-2553 2011/12 Research paper (scientific journal)
Extracting Device-Parameter Variations with RO-Based Sensors
Ken-ichi Shinkai, Masanori Hashimoto, Takao Onoye
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E94A No. 12 p. 2537-2544 2011/12 Research paper (scientific journal)
Neutron-Induced Soft Errors and Multiple Cell Upsets in 65-nm 10T Subthreshold SRAM
Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye
IEEE TRANSACTIONS ON NUCLEAR SCIENCE Vol. 58 No. 4 p. 2097-2102 2011/08 Research paper (scientific journal)
An Average-Performance-Oriented Subthreshold Processor Self-Timed by Memory Read Completion
Hiroshi Fuketa, Dan Kuroda, Masanori Hashimoto, Takao Onoye
IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS Vol. 58 No. 5 p. 299-303 2011/05 Research paper (scientific journal)
Hardware Implementation of Real-time Motion Adaptive Deinterlacing based on Inpainting
Tatsuo Maeno, Hiroshi Tsutsui, Takao Onoye
Proceedings of International Conference on Embedded Systems and Intelligent Technology (ICESIT) 2011/02 Research paper (international conference proceedings)
A ray tracing simulation of sound diffraction based on analytic secondary source model
Masashi Okada, Takao Onoye, Wataru Kobayashi
European Signal Processing Conference p. 1653-1657 2011 Research paper (international conference proceedings)
Implications of reliability enhancement achieved by fault avoidance on dynamically reconfigurable architectures
Hiroaki Konoura, Yukio Mitsuyama, Masanori Hashimoto, Takao Onoye
Proceedings - 21st International Conference on Field Programmable Logic and Applications, FPL 2011 p. 189-194 2011 Research paper (international conference proceedings)
NBTI mitigation by giving random scan-in vectors during standby mode
Toshihiro Kameda, Hiroaki Konoura, Yukio Mitsuyama, Masanori Hashimoto, Takao Onoye
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) Vol. 6951 p. 152-161 2011 Research paper (international conference proceedings)
Power Gating Implementation for Noise Mitigation with Body-Tied Triple-Well Structure
Yasumichi Takai, Masanori Hashimoto, Takao Onoye
2011 IEEE CUSTOM INTEGRATED CIRCUITS CONFERENCE (CICC) 2011 Research paper (international conference proceedings)
A Design Procedure for Oscillator-Based Hardware Random Number Generator with Stochastic Behavior Modeling
Takehiko Amaki, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye
INFORMATION SECURITY APPLICATIONS Vol. 6513 p. 107-121 2011 Research paper (international conference proceedings)
Jitter Amplifier for Oscillator-Based True Random Number Generator
Takehiko Amaki, Masanori Hashimoto, Takao Onoye
2011 16TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC) 2011 Research paper (international conference proceedings)
A RAY TRACING SIMULATION OF SOUND DIFFRACTION BASED ON ANALYTIC SECONDARY SOURCE MODEL
Masashi Okada, Takao Onoye, Wataru Kobayashi
19TH EUROPEAN SIGNAL PROCESSING CONFERENCE (EUSIPCO-2011) p. 1653-1657 2011 Research paper (international conference proceedings)
An Oscillator-Based True Random Number Generator with Jitter Amplifier
Takehiko Amaki, Masanori Hashimoto, Takao Onoye
2011 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) p. 725-728 2011 Research paper (international conference proceedings)
Signal-dependent analog-to-digital conversion based on MINIMAX sampling
Igors Homjakovs, Masanori Hashimoto, Takao Onoye, Tetsuya Hirose
Midwest Symposium on Circuits and Systems Vol. E69-A No. 2 p. 459-468 2011 Research paper (international conference proceedings)
Publisher: IEICEMeasurement Circuits for Acquiring SET Pulse Width Distribution with Sub-FO1-Inverter-Delay Resolution
Ryo Harada, Yukio Mitsuyama, Masanori Hashimoto, Takao Onoye
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E93A No. 12 p. 2417-2423 2010/12 Research paper (scientific journal)
VLSI Design of OFDM Baseband Transceiver with Dynamic Spectrum Access
M. Hatanaka, R. Hashimoto, T. Tatsuka, T. Onoye, H. Hatamoto, S. Ibi, S. Miyamoto, S. Sampei
Proc. of ISPACS '10 Vol. Proc. of ISPACS '10 2010/12 Research paper (international conference proceedings)
Transistor Variability Modeling and its Validation With Ring-Oscillation Frequencies for Body-Biased Subthreshold Circuits
Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS Vol. 18 No. 7 p. 1118-1129 2010/07 Research paper (scientific journal)
Prediction of Self-Heating in Short Intra-Block Wires
Ken-ichi Shinkai, Masanori Hashimoto, Takao Onoye
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E93A No. 3 p. 583-594 2010/03 Research paper (scientific journal)
GPU implementation of efficient pedestrian detection based on MCMC
Jaehoon Yu, Hiroki Sugano, Ryusuke Miyamoto, Takao Onoye
SCIS and ISIS 2010 - Joint 5th International Conference on Soft Computing and Intelligent Systems and 11th International Symposium on Advanced Intelligent Systems p. 1624-1629 2010 Research paper (international conference proceedings)
Computationally efficient pedestrian detection based on Markov Chain Monte Carlo
Jaehoon Yu, Hiroki Sugano, Ryusuke Miyamoto, Takao Onoye
APSIPA ASC 2010 - Asia-Pacific Signal and Information Processing Association Annual Summit and Conference p. 879-882 2010 Research paper (international conference proceedings)
An FPGA implementation of real-time retinex video image enhancement
Hiroshi Tsutsui, Hideyuki Nakamura, Ryoji Hashimoto, Hiroyuki Okuhata, Takao Onoye
2010 World Automation Congress, WAC 2010 2010 Research paper (international conference proceedings)
Alpha-Particle-Induced Soft Errors and Multiple Cell Upsets in 65-nm 10T Subthreshold SRAM
Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye
2010 INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM p. 213-217 2010 Research paper (international conference proceedings)
Load Adaptive Decoder Controlling Method for Simultaneous Browsing of Video Contents
OHARA Kazuto, KESHI Ikuo, ONOYE Takao
The Journal of the Institute of Image Electronics Engineers of Japan Vol. 39 No. 6 p. 1095-1103 2010
Publisher: The Institute of Image Electronics Engineers of JapanClock skew reduction by self-compensating manufacturing variability with on-chip sensors
Shinya Abe, Ken-Ichi Shinkai, Masanori Hashimoto, Takao Onoye
Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI p. 197-202 2010 Research paper (international conference proceedings)
Measurement of on-chip I/O power supply noise and correlation verification between noise magnitude and delay increase due to SSO
Yasumichi Takai, Yasuhiro Ogasahara, Masanori Hashimoto, Takao Onoye
2010 IEEE 14th Workshop on Signal Propagation on Interconnects, SPI 2010 - Proceedings p. 19-20 2010 Research paper (international conference proceedings)
Implementation of simultaneous video decoding on multicore processor
Yuki Kawamura, Yasutake Manabe, Takao Onoye, Kazuto Ohara, Hiroyuki Okada, Ikuo Keshi
Final Program and Abstract Book - 4th International Symposium on Communications, Control, and Signal Processing, ISCCSP 2010 2010 Research paper (international conference proceedings)
Measurement Circuits for Acquiring SET Pulse Width Distribution with Sub-FO1-inverter-delay Resolution
Ryo Harada, Yukio Mitsuyama, Masanori Hashimoto, Takao Onoye
PROCEEDINGS OF THE ELEVENTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN (ISQED 2010) p. 839-844 2010 Research paper (international conference proceedings)
Comparative study on delay degrading estimation due to NBTI with circuit/instance/transistor-level stress probability consideration
Hiroaki Konoura, Yukio Mitsuyama, Masanori Hashimoto, Takao Onoye
PROCEEDINGS OF THE ELEVENTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN (ISQED 2010) p. 646-651 2010 Research paper (international conference proceedings)
Adaptive performance control with embedded timing error predictive sensors for subthreshold circuits.
Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye
Asia South Pacific Design Automation Conference (ASP-DAC) p. 361-362 2010/01
Publisher: IEEESoft Error Resilient VLSI Architecture for Signal Processing
Dawood Alnajjar, Younghun Ko, Takashi Imagawa, Masayuki Hiromoto, Yukio Mitsuyama, Masanori Hashimoto, Hiroyuki Ochi, Takao Onoye
Proc. of 2009 International Symposium on Intelligent Signal Processing and Communication Systems (ISPACS 2009) p. 183-186 2009/12/07
Trade-Off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction
FUKETA Hiroshi, HASHIMOTO Masanori, MITSUYAMA Yukio, ONOYE Takao
IEICE Trans. Fundamentals Vol. 92 No. 12 p. 3094-3102 2009/12/01
Publisher: The Institute of Electronics, Information and Communication EngineersMotion-Compensated Frame Interpolation based on Feature Tracking
Hideyuki Nakamura, Hiroshi Tsutsui, Ryoji Hashimoto, Takao Onoye
IEICE Society Conference Vol. 2009 2009/09
Adaptive performance compensation with in-situ timing error prediction for subthreshold circuits.
Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye
IEEE Custom Integrated Circuits Conference, CICC 2009, San Jose, California, USA, 13-16 September, 2009, Proceedings p. 215-218 2009/09
Publisher: IEEECoarse-grained dynamically reconfigurable architecture with flexible reliability
ALNAJJAR D.
Proc. International Conference on Field Programmable Logic and Applications (FPL 2009), Sept. p. 186-192 2009/08/31
Efficient Memory Organization Framework for JPEG2000 Entropy Codec
Hiroki Sugano, Takahiko Masuzaki, Hiroshi Tsutsui, Takao Onoye, Hiroyuki Ochi, Yukihiro Nakamura
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E92A No. 8 p. 1970-1977 2009/08 Research paper (scientific journal)
All-digital ring-oscillator-based macro for sensing dynamic supply noise waveform
OGASAHARA Y.
IEEE J. Solid-State Circuits Vol. 44 No. 6 p. 1745-1755 2009/06
An Experimental Study on Body-Biasing Layout Style Focusing on Area Efficiency and Speed Controllability
Koichi Hamamoto, Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye
IEICE TRANSACTIONS ON ELECTRONICS Vol. E92C No. 2 p. 281-285 2009/02 Research paper (scientific journal)
Likelihood Estimation for Transform Domain Distributed Video Coding
Ryoji Hashimoto, Hiroshi Tsutsui, Takao Onoye, Tomohiro Ikai
IEICE Technical Report Vol. 108 No. 425 p. 31-36 2009/02
最先端メディアプロセッサが拓く映像処理 2.組込み向けメディア処理プロセッサの最新動向
尾上 孝雄
映像情報メディア学会誌 Vol. 63 No. 9 p. 1185-1187 2009
Publisher: The Institute of Image Information and Television EngineersLoad adaptive decoder control method for simultaneous video playback
Kazuto Ohara, Ikuo Keshi, Takao Onoye
Digest of Technical Papers - IEEE International Conference on Consumer Electronics p. 218-220 2009 Research paper (international conference proceedings)
Load adaptive decoder control method for simultaneous video playback
Kazuto Ohara, Ikuo Keshi, Takao Onoye
ISCE: 2009 IEEE 13TH INTERNATIONAL SYMPOSIUM ON CONSUMER ELECTRONICS, VOLS 1 AND 2 p. 609-+ 2009 Research paper (international conference proceedings)
Tuning-Friendly Body Bias Clustering for Compensating Random Variability in Subthreshold Circuits
Koichi Hamamoto, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye
ISLPED 09 p. 51-56 2009 Research paper (international conference proceedings)
Trade-Off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction
Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye
IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC) p. 266-271 2009/01
Clock Skew Evaluation Considering Manufacturing Variability in Mesh-Style Clock Distribution
Shinya Abe, Masanori Hashimoto, Takao Onoye
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E91A No. 12 p. 3481-3487 2008/12 Research paper (scientific journal)
Implementation of Multi-Agent Object Attention System Based on Biologically Inspired Attractor Selection
Ryoji Hashimoto, Tomoya Matsumura, Yoshihiro Nozato, Kenji Watanabe, Takao Onoye
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E91A No. 10 p. 2909-2917 2008/10 Research paper (scientific journal)
Experimental study on body-biasing layout style-- negligible area overhead enables sufficient speed controllability --.
Koichi Hamamoto, Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye
ACM Great Lakes Symposium on VLSI (GLSVLSI) p. 387-390 2008/05
Publisher: ACMMeasurement and analysis of inductive coupling noise in 90 nm global interconnects
Ogasahara Yasuhiro, Hashimoto Masanori, Onoye Takao
IEEE JOURNAL OF SOLID-STATE CIRCUITS Vol. 43 No. 3 p. 718-728 2008/03
Correlation verification between transistor variability model with body biasing and ring oscillation frequency in 90nm subthreshold circuits
Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye
Proceedings of the International Symposium on Low Power Electronics and Design p. 3-8 2008 Research paper (international conference proceedings)
Publisher: ACMJPM-Based Differential Image Storage Method for Image Revision Management System
Junichi Hara, Yasutake Manabe, Takao Onoye
Journal of the Institute of Image Electronics Engineers of Japan Vol. 37 No. 3 p. 268-277 2008/01 Research paper (scientific journal)
Publisher: The Institute of Image Electronics Engineers of JapanDevelopment of Mobile Phone 3D User Interface Customizing System Utilizing Templates
NAKANISHI Masahiro, ONOYE Takao
ITE Technical Report Vol. 32 p. 29-32 2008
Publisher: The Institute of Image Information and Television EngineersMeasurement of Supply Noise Suppression by Substrate and Deep N-well in 90nm Process
Yasuhiro Ogasahara, Masanori Hashimoto, Toshiki Kanamoto, Takao Onoye
2008 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE p. 393-396 2008 Research paper (international conference proceedings)
Clock Skew evaluation considering manufacturing variability in mesh-style clock distribution
Shinya Abe, Masanori Hashimoto, Takao Onoye
ISQED 2008: PROCEEDINGS OF THE NINTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN p. 520-525 2008 Research paper (international conference proceedings)
Quantitative prediction of on-chip capacitive and inductive crosstalk noise and tradeoff between wire cross-sectional area and inductive crosstalk effect
Yasuhiro Ogasahara, Masanori Hashimoto, Takao Onoye
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E90A No. 4 p. 724-731 2007/04 Research paper (scientific journal)
Efficient 3-d sound movement with time-varying IIR filters
Kosuke Tsujino, Wataru Kobayashi, Takao Onoye, Yukihiro Nakamura
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E90A No. 3 p. 618-625 2007/03 Research paper (scientific journal)
An energy-efficient architecture of wireless home network based on MAC broadcast and transmission power control
Kenji Watanabe, Masanao Ise, Takao Onoye, Hiroaki Niwamoto, Ikuo Keshi
IEEE TRANSACTIONS ON CONSUMER ELECTRONICS Vol. 53 No. 1 p. 124-130 2007/02 Research paper (scientific journal)
Embedded system technology for video LSIs
Onoye Takao
The Journal of the Institute of Television Engineers of Japan Vol. 61 No. 7 p. 931-934 2007
Publisher: The Institute of Image Information and Television EngineersAn energy-efficient architecture of wireless home network based on MAC broadcast and transmission power control
Kenji Watanabe, Masanao Ise, Takao Onoye, Hiroaki Niwamoto, Ikuo Keshi
ICCE: 2007 DIGEST OF TECHNICAL PAPERS INTERNATIONAL CONFERENCE ON CONSUMER ELECTRONICS p. 93-+ 2007 Research paper (international conference proceedings)
Quantitative prediction of on-chip capacitive and inductive crosstalk noise and discussion on wire cross-sectional area toward inductive crosstalk free interconnects
Yasuhiro Ogasahara, Masanori Hashimoto, Takao Onoye
PROCEEDINGS 2006 INTERNATIONAL CONFERENCE ON COMPUTER DESIGN p. 70-75 2007 Research paper (international conference proceedings)
Dynamic supply noise measurement with all digital gated oscillator for evaluating decoupling capacitance effect
Yasuhiro Ogasahara, Masanori Hashimoto, Takao Onoye
PROCEEDINGS OF THE IEEE 2007 CUSTOM INTEGRATED CIRCUITS CONFERENCE p. 783-786 2007 Research paper (international conference proceedings)
Direction of arrival estimation improvement of speech on a two-microphone array
Mohd Nadzrul Bin Mohd Nor, Tomoya Matsumura, Takao Onoye
PROCEEDINGS OF THE NINTH IASTED INTERNATIONAL CONFERENCE ON SIGNAL AND IMAGE PROCESSING p. 129-135 2007 Research paper (international conference proceedings)
Future prediction of self-heating in short intra-block wires
Kenichi Shinkai, Masanori Hashimoto, Takao Onoye
ISQED 2007: PROCEEDINGS OF THE EIGHTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN p. 660-+ 2007 Research paper (international conference proceedings)
Real-time human object extraction method for mobile systems based on color space segmentation
G Fujita, T Imanaka, H Van Nhat, T Onoye, Shirakawa, I
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E89A No. 4 p. 941-949 2006/04 Research paper (scientific journal)
Efficient memory architecture for JPEG2000 entropy codec
Hiroki Sugano, Hiroshi Tsutsui, Takahiko Masuzaki, Takao Onoye, Hiroyuki Ochi, Yukihiro Nakamura
Proceedings - IEEE International Symposium on Circuits and Systems p. 2881-2884 2006 Research paper (international conference proceedings)
Design framework for JPEG2000 system architecture
Hiroshi Tsutsui, Takahiko Masuzaki, Yoshiteru Hayashi, Yoshitaka Taki, Tomonori Izumi, Takao Onoye, Yukihiro Nakamura
INTELLIGENT AUTOMATION AND SOFT COMPUTING Vol. 12 No. 3 p. 331-343 2006 Research paper (scientific journal)
Probabilistic pedestrian tracking based on a skeleton model
Jumpei Ashida, Ryusuke Miyamoto, Hiroshi Tsutsui, Takao Onoye, Yukihiro Nakamura
2006 IEEE INTERNATIONAL CONFERENCE ON IMAGE PROCESSING, ICIP 2006, PROCEEDINGS p. 2825-+ 2006 Research paper (international conference proceedings)
Efficient memory architecture for JPEG2000 entropy codec
Hiroki Sugano, Hiroshi Tsutsui, Takahiko Masuzaki, Takao Onoye, Hiroyuki Ochi, Yukihiro Nakamura
2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS p. 2881-2884 2006 Research paper (international conference proceedings)
A gate delay model focusing on current fluctuation over wide-range of process and environmental variability
Ken'ichi Shinkai, Masanori Hashimoto, Atsushi Kurokawa, Takao Onoye
IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN, DIGEST OF TECHNICAL PAPERS, ICCAD p. 215-+ 2006 Research paper (international conference proceedings)
Automated design of digital filters for 3-D sound localization in embedded applications
Kosuke Tsujino, Wataru Kobayashi, Takao Onoye, Yukihiro Nakamura
2006 IEEE INTERNATIONAL CONFERENCE ON ACOUSTICS, SPEECH, AND SIGNAL PROCESSING, VOL V, PROCEEDINGS p. 349-+ 2006 Research paper (international conference proceedings)
Contour-based gravity center evaluation of characters
Akio Kotani, Yoshitaka Tanemura, Yukio Mituyama, Yoshimi Asai, Yasuhisa Nakamura, Takao Onoye
EUROMEDIA '2006 p. 15-+ 2006 Research paper (international conference proceedings)
Automated design of digital filters for 3-D sound localization in embedded applications
Kosuke Tsujino, Wataru Kobayashi, Takao Onoye, Yukihiro Nakamura
2006 IEEE INTERNATIONAL CONFERENCE ON ACOUSTICS, SPEECH AND SIGNAL PROCESSING, VOLS 1-13 p. 5207-5210 2006 Research paper (international conference proceedings)
Measurement of inductive coupling effect on timing in 90nm global interconnects
Yasuhiro Ogasahara, Masanori Hashimoto, Takao Onoye
PROCEEDINGS OF THE IEEE 2006 CUSTOM INTEGRATED CIRCUITS CONFERENCE p. 721-724 2006 Research paper (international conference proceedings)
m-ActiveCube; Multimedia extension of spatial tangible user interface
K Ueda, A Kosaka, R Watanabe, Y Takeuchi, T Onoye, Y Itoh, Y Kitamura, F Kishino
BIOLOGICALLY INSPIRED APPROACHES TO ADVANCED INFORMATION TECHNOLOGY, PROCEEDINGS Vol. 3853 p. 363-370 2006 Research paper (scientific journal)
VLSI architecture of H.264 block size decision based on rate-distortion optimization
Ryoji Hashimoto, Kimiya Katou, Gen Fujita, Takao Onoye
2006 INTERNATIONAL SYMPOSIUM ON INTELLIGENT SIGNAL PROCESSING AND COMMUNICATIONS, VOLS 1 AND 2 p. 565-+ 2006 Research paper (international conference proceedings)
W-CDMA channel codec by configurable processors
Ise Masanao, Ogasahara Yasuhiro, Onoye Takao, Shirakawa Isao
INTELLIGENT AUTOMATION AND SOFT COMPUTING Vol. 12 No. 3 p. 317-329 2006
High-Quality Motion JPEG2000 Rate Control Scheme Based on Scene Changes
Ryusuke Miyamoto, Hiroshi Tsutsui, Hiroaki Sugita, Takahiko Masuzaki, Hiroyuki Ochi, Takao Onoye, Yukihiro Nakamura
IEICE Society Conference Vol. 2005 2005/09
Architecture of IEEE802.11i cipher algorithms for embedded systems
Y Mitsuyama, M Kimura, T Onoye, Shirakawa, I
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E88A No. 4 p. 899-906 2005/04 Research paper (scientific journal)
High quality motion JPEG2000 coding scheme based on the human visual system
R Miyamoto, H Sugita, Y Hayashi, H Tsutsui, T Masuzaki, T Onoye, Y Nakamura
2005 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS), VOLS 1-6, CONFERENCE PROCEEDINGS p. 2096-2099 2005 Research paper (international conference proceedings)
3D sound movement system for embedded applications
Tomoya Matsumura, Nobuyuki Iwanaga, Takao Onoye, Wataru Kobayashi, Isao Shirakawa, Itthichai Arungsrisangchai
Proceedings - IEEE International Symposium on Circuits and Systems p. 5345-5348 2005 Research paper (international conference proceedings)
Measurement and analysis of delay variation due to inductive coupling
Y Ogasahara, M Hashimoto, T Onoye
CICC: PROCEEDINGS OF THE IEEE 2005 CUSTOM INTEGRATED CIRCUITS CONFERENCE p. 305-308 2005 Research paper (international conference proceedings)
VLSI implementation of 3D sound movement
Nobuyuki Iwanaga, Takao Onoye, Isao Shirakawa, Wataru Kobayashi, Kazuhiko Furuya
IEEE Region 10 Annual International Conference, Proceedings/TENCON Vol. A p. A21-A24 2004 Research paper (international conference proceedings)
Video quality enhancement for motion JPEG2000 encoding based on the human visual system
Ryusuke Miyamoto, Yoshiteru Hayashi, Hiroshi Tsutsui, Takahiko Masuzaki, Onoye Takao, Yukihiro Nakamura
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS Vol. 2 p. 1161-1164 2004 Research paper (international conference proceedings)
JPEG2000 high-speed progressive decoding scheme
H Sugita, VQ Minh, T Masuzaki, H Tsutsui, T Izumi, T Onoye, Y Nakamura
2004 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 3, PROCEEDINGS Vol. 3 p. 873-876 2004 Research paper (international conference proceedings)
A scalable approach for estimation of focus of expansion
J Ashida, R Miyamoto, H Tsutsui, T Onoye, Y Nakamura
Proceedings of the Fourth IASTED International Conference on Visualization, Imaging, and Image Processing p. 6-11 2004 Research paper (international conference proceedings)
Scalable design framework for JPEG2000 system architecture
H Tsutsui, T Masuzaki, Y Hayashi, Y Taki, T Izumi, T Onoye, Y Nakamura
ADVANCES IN COMPUTER SYSTEMS ARCHITECTURE, PROCEEDINGS Vol. 3189 p. 296-308 2004 Research paper (scientific journal)
Embedded system implementation of scalable and object-based video coding
T Onoye, H Tsutsui, G Fujita, Y Nakamura, Shirakawa, I
Image Processing, Biomedicine, Multimedia, Financial Engineering and Manufacturing, Vol 18 Vol. 18 p. 243-250 2004 Research paper (international conference proceedings)
Single DSP implementation of realtime 3D sound synthesis algorithm
Noriaki Sakamoto, Wataru Kobayashi, Takao Onoye, Isao Shirakawa
Journal of Circuits, Systems and Computers Vol. 12 No. 1 p. 55-73 2003/02 Research paper (scientific journal)
Design framework for JPEG2000 encoding system architecture
Y Hayashi, H Tsutsui, T Masuzaki, T Izumi, T Onoye, Y Nakamura
PROCEEDINGS OF THE 2003 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL II Vol. 2 p. 740-743 2003 Research paper (international conference proceedings)
An implementation of moving 3-D sound synthesis system based on floating point DSP
K Tsujino, A Shigiya, W Kobayashi, T Izumi, T Onoye, Y Nakamura
PROCEEDINGS OF THE 3RD IEEE INTERNATIONAL SYMPOSIUM ON SIGNAL PROCESSING AND INFORMATION TECHNOLOGY p. 652-655 2003 Research paper (international conference proceedings)
An improved communication channel in dynamic reconfigurable device for multimedia applications
Y Soga, T Yuasa, T Izumi, T Onoye, Y Nakamura
EUROMEDIA '2003: 8th Annual Euromedia Conference p. 152-157 2003 Research paper (international conference proceedings)
Modified snake: Real-time face object extraction for video phone
K Hontani, T Imanaka, G Fujita, T Onoye, Shirakawa, I
2003 INTERNATIONAL CONFERENCE ON IMAGE PROCESSING, VOL 3, PROCEEDINGS p. 873-876 2003 Research paper (international conference proceedings)
Wireless digital video transmission system using IEEE802.11b PHY with error correction block based ARQ protocol
Y Ohtani, N Kawahara, H Nakaoka, T Tomaru, K Maruyama, T Chiba, T Onoye, Shirakawa, I
IEICE TRANSACTIONS ON COMMUNICATIONS Vol. E85B No. 10 p. 2032-2043 2002/10 Research paper (scientific journal)
An embedded zerotree wavelet video coding algorithm with reduced memory bandwidth
RY Omaki, G Fujita, T Onoye, Shirakawa, I
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E85A No. 3 p. 703-713 2002/03 Research paper (scientific journal)
VLSI architecture of burst mode acceleration for 128-bit block chippers
Yukio Mitsuyama, Zaldy Andales, Takao Onoye, Isao Shirakawa, Itthichai Arungsrisangchai
Proceedings - IEEE International Symposium on Circuits and Systems Vol. 2 2002 Research paper (international conference proceedings)
JPEG2000 adaptive rate control for embedded systems
T Masuzaki, H Tsutsui, T Izumi, T Onoye, Y Nakamura
2002 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL IV, PROCEEDINGS Vol. 4 p. 333-336 2002 Research paper (international conference proceedings)
Adaptive rate control for JPEG2000 image coding in embedded systems
T Masuzaki, H Tsutsui, T Izumi, T Onoye, Y Nakamura
2002 INTERNATIONAL CONFERENCE ON IMAGE PROCESSING, VOL III, PROCEEDINGS Vol. 3 p. 77-80 2002 Research paper (international conference proceedings)
Embedded implementation of acoustic field enhancement for stereo headphones
N. Iwanaga, W. Kobayashi, K. Furuya, N. Sakamoto, T. Onoye, I. Shirakawa
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS Vol. 1 p. 51-54 2002 Research paper (international conference proceedings)
Publisher: Institute of Electrical and Electronics Engineers Inc.'Out-of-head' acoustic field enhancement for stereo headphones by embedded DSP
Wataru Kobayashi, Kazuhiko Furuya, Noriaki Sakamoto, Takao Onoye, Isao Shirakawa
Digest of Technical Papers-IEEE International Conference on Consumer Electronics p. 222-223 2002 Research paper (scientific journal)
High speed JPEG2000 encoder by configurable processor
H Tsutsui, T Masuzaki, T Izumi, T Onoye, Y Nakamura
APCCAS 2002: ASIA-PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS, VOL 1, PROCEEDINGS p. 45-50 2002 Research paper (international conference proceedings)
MAC LSI design for wireless MPEG2 transmission over IEEE802.11b PHY
Y Ohtani, N Kawahara, T Onoye, Shirakawa, I, T Chiba
2002 INTERNATIONAL CONFERENCE ON CONSUMER ELECTRONICS, DIGEST OF TECHNICAL PAPERS p. 242-243 2002 Research paper (international conference proceedings)
System-level design of IEEE1394 bus segment bridge
H Yamamoto, K Chikamura, A Shigiya, K Tsujino, T Izumi, T Onoye, Y Nakamura
ISSS'02: 15TH INTERNATIONAL SYMPOSIUM ON SYSTEM SYNTHESIS p. 74-79 2002 Research paper (international conference proceedings)
Realtime face object extraction algorithm for video phone
K Hontani, T Imanaka, G Fujita, T Onoye, Shirakawa, I
APCCAS 2002: ASIA-PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS, VOL 1, PROCEEDINGS p. 35-38 2002 Research paper (international conference proceedings)
VLSI architecture of digital matched filter and prime interleaver for W-CDMA
Y Uchida, M Ise, T Onoye, Shirakawa, I, Arungsrisangchai, I
2002 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL III, PROCEEDINGS p. 269-272 2002 Research paper (international conference proceedings)
Imprementation of wireless MPEG2 transmission system using IEEE 802.11B PHY
Y Ohtani, H Nakaoka, T Tomaru, K Maruyama, T Chiba, T Onoye, Shirakawa, I
APCCAS 2002: ASIA-PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS, VOL 1, PROCEEDINGS p. 39-44 2002 Research paper (international conference proceedings)
Error correction block based ARQ protocol for wireless digital video transmission
Y Ohtani, N Kawahara, T Tomaru, K Maruyama, T Onoye, Shirakawa, I, T Chiba
2002 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL I, PROCEEDINGS p. 605-608 2002 Research paper (international conference proceedings)
Spatiotemporal segmentation for compact video representation
JP Fan, J Yu, G Fujita, T Onoye, L Wu, Shirakawa, I
SIGNAL PROCESSING-IMAGE COMMUNICATION Vol. 16 No. 6 p. 553-566 2001/02 Research paper (scientific journal)
VLSI architecture of dynamically reconfigurable hardware-based cipher
Y. Mitsuyama, Z. Andales, T. Onoye, I. Shirakawa
Materials Research Society Symposium - Proceedings Vol. 626 p. IV734-IV737 2001 Research paper (international conference proceedings)
A design of LUT-array-based PLD and a synthesis approach based on sum of generalized complex terms expression
Hiroshi Tsutsui, Kazuhiro Hiwada, Tomonori Izumi, Takao Onoye, Yukihiro Nakamura
ISCAS 2001 - 2001 IEEE International Symposium on Circuits and Systems, Conference Proceedings Vol. 5 p. 203-206 2001 Research paper (international conference proceedings)
Publisher: IEEE Computer SocietyVLSI implementation of high performance burst mode for 128-bit block ciphers
Y. Mitsuyama, Z. Andales, T. Onoye, I. Shirakawa
Proceedings of the Annual IEEE International ASIC Conference and Exhibit p. 3-7 2001 Research paper (international conference proceedings)
Realtime wavelet video coder based on reduced memory accessing
RY Omaki, Y Dong, MH Miki, M Furuie, D Taki, M Tarui, G Fujita, T Onoye, Shirakawa, I
PROCEEDINGS OF THE ASP-DAC 2001: ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 2001 p. 15-16 2001 Research paper (international conference proceedings)
DSP implementation of 3D sound localization algorithm for monaural sound source
N Sakamoto, W Kobayashi, T Onoye, Shirakawa, I
ICECS 2001: 8TH IEEE INTERNATIONAL CONFERENCE ON ELECTRONICS, CIRCUITS AND SYSTEMS, VOLS I-III, CONFERENCE PROCEEDINGS p. 1061-1064 2001 Research paper (international conference proceedings)
DSP implementation of low computational 3D sound localization algorithm
N Sakamoto, W Kobayashi, T Onoye, Shirakawa, I
SIPS 2001: IEEE WORKSHOP ON SIGNAL PROCESSING SYSTEMS: DESIGN AND IMPLEMENTATION p. 109-116 2001 Research paper (international conference proceedings)
A dynamically reconfigurable hardware-based cipher chip
Y Mitsuyama, Z Andales, T Onoye, Shirakawa, I
PROCEEDINGS OF THE ASP-DAC 2001: ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 2001 p. 11-12 2001 Research paper (international conference proceedings)
System-on-a-chip architecture for W-CDMA baseband modem LSI
M Ise, Y Uchida, T Onoye, Shirakawa, I
2001 4TH INTERNATIONAL CONFERENCE ON ASIC PROCEEDINGS p. 364-369 2001 Research paper (international conference proceedings)
<大学の研究・動向> 自立的再構成可能デバイスによる汎用計算機構の実現を目指して
中村 行宏, 尾上 孝雄, 泉 知論
Cue : 京都大学電気関係教室技術情報誌 Vol. 5 p. 6-9 2000/06
Publisher: 京都大学電気関係教室・洛友会Automatic moving object extraction toward compact video representation
JP Fan, G Fujita, M Furuie, T Onoye, T Shirakawa, LD Wu
OPTICAL ENGINEERING Vol. 39 No. 2 p. 438-452 2000/02 Research paper (scientific journal)
VLSI implementation of dynamically reconfigurable hardware-based cryptosystem
Y Mitsuyama, Z Andales, T Onoye, Shirakawa, I
2000 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS p. 204-205 2000 Research paper (international conference proceedings)
VLSI implementation of a realtime wavelet video coder
RY Omaki, Y Dong, MH Miki, M Furuie, S Yamada, D Taki, M Tarui, G Fujita, T Onoye, Shirakawa, I
PROCEEDINGS OF THE IEEE 2000 CUSTOM INTEGRATED CIRCUITS CONFERENCE p. 543-546 2000 Research paper (international conference proceedings)
VLSI implementation of portable MPEG-4 audio decoder
S Hashimoto, A Niwa, H Okuhata, T Onoye, Shirakawa, I
13TH ANNUAL IEEE INTERNATIONAL ASIC/SOC CONFERENCE, PROCEEDINGS p. 80-84 2000 Research paper (international conference proceedings)
Low-power implementation of H.263 codec core dedicated to mobile computing
MH Miki, G Fujita, T Onoye, Shirakawa, I
ELECTRONICS AND COMMUNICATIONS IN JAPAN PART III-FUNDAMENTAL ELECTRONIC SCIENCE Vol. 83 No. 11 p. 74-84 2000 Research paper (scientific journal)
VLSI implementation of a reduced memory bandwidth real-time EZW video coder
Y Dong, RY Omaki, T Onoye, Shirakawa, I
2000 INTERNATIONAL CONFERENCE ON IMAGE PROCESSING, VOL III, PROCEEDINGS p. 126-129 2000 Research paper (international conference proceedings)
FeRAM circuit technology for system on a chip
K. Asari, Y. Mitsuyama, T. Onoye, I. Shirakawa, H. Hirano, T. Honda, T. Otsuki, T. Baba, T. Meng
Proceedings of the 1st NASA/DoD Workshop on Evolvable Hardware p. 193-197 1999 Research paper (international conference proceedings)
Publisher: Institute of Electrical and Electronics Engineers Inc.Hybrid media-processor core for natural and synthetic video decoding
H Fujishima, Y Takemoto, T Yoneda, T Onoye, Shirakawa, I
ISCAS '99: PROCEEDINGS OF THE 1999 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 4 p. 275-278 1999 Research paper (international conference proceedings)
Recursive maximum likelihood decoder for high-speed satellite communication
MH Miki, D Taki, G Fujita, T Onoye, Shirakawa, I, T Fujiwara, T Kasami
ISCAS '99: PROCEEDINGS OF THE 1999 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 4 p. 572-575 1999 Research paper (international conference proceedings)
A low-power DSP core architecture for low bitrate speech codec
H Okuhata, MH Miki, T Onoye, Shirakawa, I
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E81A No. 8 p. 1616-1621 1998/08 Research paper (scientific journal)
Control method of Data Cashe for Multithreaded Processor
KIMURA Kozo, OKUHATA Hiroyuki, ONOYE Takao, SHIRAKAWA Isao, KIYOHARA Tokuzo, SAGISHIMA Takayuki
The Journal of the Institute of Television Engineers of Japan Vol. 52 No. 5 p. 742-749 1998/05/20
Publisher: The Institute of Image Information and Television EngineersA VLSI architecture for motion estimation core dedicated to H.263 video coding
G Fujita, T Onoye, Shirakawa, I
IEICE TRANSACTIONS ON ELECTRONICS Vol. E81C No. 5 p. 702-707 1998/05 Research paper (scientific journal)
Voice communication on multimedia ATM network using shared VCI cell
T Masaki, Y Nakatani, T Onoye, N Yamai, K Murakami
IEICE TRANSACTIONS ON COMMUNICATIONS Vol. E81B No. 2 p. 340-346 1998/02 Research paper (scientific journal)
Hierarchical object-oriented image and video segmentation algorithm based on 2D entropic thresholding
JP Fan, G Fujita, J Yu, K Miyanohana, T Onoye, N Ishiura, LD Wu, Shirakawa, I
ELECTRONIC IMAGING AND MULTIMEDIA SYSTEMS II Vol. 3561 p. 141-151 1998 Research paper (international conference proceedings)
Low-power implementation of H.324 audiovisual codec dedicated to mobile computing
T Onoye, G Fujita, H Okuhata, MH Miki, Shirakawa, I
PROCEEDINGS OF THE ASP-DAC '98 - ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 1998 WITH EDA TECHNO FAIR '98 p. 589-594 1998 Research paper (international conference proceedings)
Hierarchical object-oriented video segmentation and representation algorithm
JP Fan, G Fujita, J Yu, K Miyanohana, T Onoye, N Ishiura, LD Wu, Shirakawa, I
ICSP '98: 1998 FOURTH INTERNATIONAL CONFERENCE ON SIGNAL PROCESSING, PROCEEDINGS, VOLS I AND II p. 954-958 1998 Research paper (international conference proceedings)
Implementation of H.324 audiovisual codec for mobile computing
G Fujita, H Okuhata, MH Miki, T Onoye, Shirakawa, I
IEEE 1998 CUSTOM INTEGRATED CIRCUITS CONFERENCE - PROCEEDINGS p. 193-196 1998 Research paper (international conference proceedings)
Single chip implementation of encoder-decoder for low bit rate visual communication
K Miyanohana, G Fujita, K Yanagida, T Onoye, Shirakawa, I
JOURNAL OF CIRCUITS SYSTEMS AND COMPUTERS Vol. 7 No. 5 p. 441-457 1997/10 Research paper (scientific journal)
Voice and telephony over ATM for multimedia network using shared VCI cell
T Masaki, Y Nakatani, T Onoye, K Murakami
JOURNAL OF CIRCUITS SYSTEMS AND COMPUTERS Vol. 7 No. 2 p. 93-110 1997/04 Research paper (scientific journal)
Simulation in low-power embedded processor design
Y Yoshida, T Onoye, Shirakawa, I, N Kubo
SIMULATION IN INDUSTRY: 9TH EUROPEAN SIMULATION SYMPOSIUM 1997 p. 557-561 1997 Research paper (international conference proceedings)
An object code compression approach to embedded processors
Y Yoshida, BY Song, H Okuhata, T Onoye, Shirakawa, I
1997 INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN, PROCEEDINGS p. 265-268 1997 Research paper (international conference proceedings)
VLSI implementation of single chip encoder/decoder for low bitrate visual communication
K Miyanohana, G Fujita, K Yanagida, T Onoye, Shirakawa, I
PROCEEDINGS OF THE IEEE 1997 CUSTOM INTEGRATED CIRCUITS CONFERENCE p. 229-232 1997 Research paper (international conference proceedings)
Multimedia ATM network using shared VCI cell and VLSI implementation of rerouting node
T Masaki, Y Nakatani, T Onoye, K Murakami
ISCAS '97 - PROCEEDINGS OF 1997 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS I - IV p. 2793-2796 1997 Research paper (international conference proceedings)
A new motion estimation core dedicated to H.263 video coding
G Fujita, T Onoye, Shirakawa, I
ISCAS '97 - PROCEEDINGS OF 1997 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS I - IV p. 1161-1164 1997 Research paper (international conference proceedings)
Low-power H.263 video codec dedicated to mobile computing
MH Miki, G Fujita, T Onoye, Shirakawa, I
1997 INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN, PROCEEDINGS p. 80-83 1997 Research paper (international conference proceedings)
Single chip implementation of motion estimator dedicated to MPEG2 MP @ HL
T Onoye, G Fujita, M Takatsu, Shirakawa, I, N Yamai
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E79A No. 8 p. 1210-1216 1996/08 Research paper (scientific journal)
Single chip implementation of MPEG2 decoder for HDTV level pictures
T Onoye, T Masaki, Y Morimoto, Y Sato, Shirakawa, I, K Matsumura
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E79A No. 3 p. 330-338 1996/03 Research paper (scientific journal)
VLSI implementation of edge detector and vector quantizer for very low bitrate video encoding
K Miyanohana, G Fujita, T Onoye, Shirakawa, I
APCCAS '96 - IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS '96 p. 480-483 1996 Research paper (international conference proceedings)
Implementation of very low bitrate video encoder core
K Miyanohana, G Fujita, T Onoye, Shirakawa, I
1996 2ND INTERNATIONAL CONFERENCE ON ASIC, PROCEEDINGS p. 131-134 1996 Research paper (international conference proceedings)
A VLSI architecture for MPEG2 MP@HL real time motion estimator
T Onoye, G Fujita, Shirakawa, I, K Matsumura, H Ariyoshi, S Tsukiyama
ISCAS 96: 1996 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS - CIRCUITS AND SYSTEMS CONNECTING THE WORLD, VOL 2 p. 664-667 1996 Research paper (international conference proceedings)
HIGH-LEVEL SYNTHESIS OF A MULTITHREADED PROCESSOR FOR IMAGE GENERATION
T ONOYE, T MASAKI, SHIRAKAWA, I, H HIRATA, K KIURA, S ASAHARA, T SAGISHIMA
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E78A No. 3 p. 322-330 1995/03 Research paper (scientific journal)
AN AUTOMATIC LAYOUT GENERATOR FOR BIPOLAR ANALOG MODULES
T ONOYE, A YAMADA, ARUNGSRISANGCHAI, I, M TANAKA, SHIRAKAWA, I
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E75A No. 10 p. 1306-1314 1992/10 Research paper (scientific journal)
A Study on GPU Implementation of QC-LDPC Code Decoding
Vol. 31 p. 214-219 2018/05/17
Publisher: [電子情報通信学会]Implementation and Evaluation of Control Unit for Operating Multiple Actuators by Projected Images
Vol. 116 No. 245 p. 31-34 2016/10/13
Publisher: 電子情報通信学会Throughput Improvement Method of Wireless LAN with Node Localization
Vol. 29 p. 81-83 2016/05/12
Publisher: [電子情報通信学会]マージンの最小化に向けた適応的速度制御の設計と性能評価
増田豊, 橋本昌宜, 尾上孝雄
STARC フォーラム2015 2015/11 Research paper, summary (national, other academic conference)
A-3-5 On Stochastic modeling of NBTI induced threshold voltage variation
Sato Masahiro, Izuka Syoichi, Awano Hiromitsu, Hashimoto Masanori, Onoye Takao
Proceedings of the IEICE General Conference Vol. 2015 p. 84-84 2015/02/24
Publisher: The Institute of Electronics, Information and Communication EngineersB-18-48 Performance evaluation of human detection with thermopile infrared sensor
Masuda Ryohei, Hashimoto Masanori, Onoye Takao
Proceedings of the IEICE General Conference Vol. 2015 No. 2 p. 595-595 2015/02/24
Publisher: The Institute of Electronics, Information and Communication Engineersクロスエントロピー法を用いたノード間距離情報に基づく3次元ノード位置推定
鵜川 翔平, 信田 龍哉, 橋本 昌宜, 伊藤 雄一, 尾上 孝雄
研究報告ヒューマンコンピュータインタラクション(HCI) Vol. 2015 No. 11 p. 1-7 2015/01/07
Publisher: 一般社団法人情報処理学会An analytic evaluation on soft error immunity enhancement due to temporal triplication
DOI Ryutaro, HASHIMOTO Masanori, ONOYE Takao
Technical report of IEICE. VLD Vol. 114 No. 328 p. 263-268 2014/11/26
Publisher: The Institute of Electronics, Information and Communication EngineersAn analytic evaluation on soft error immunity enhancement due to temporal triplication
Vol. 2014 No. 46 p. 1-6 2014/11/19
An Approach to Carrier Sensing Method for Dynamic Spectrum Access
OKADA Fumiya, HATANAKA Masahide, ONOYE Takao
Vol. 114 No. 205 p. 57-62 2014/09/11
Publisher: The Institute of Electronics, Information and Communication EngineersAn Approach to Carrier Sensing Method for Dynamic Spectrum Access
Vol. 2014 No. 11 p. 1-6 2014/09/04
A Study on GPU Implementation of OFDM Transceiver using Dynamic Spectrum Access
TOMITA Kosuke, HATANAKA Masahide, ONOYE Takao
IEICE technical report. Signal processing Vol. 114 No. 124 p. 81-86 2014/07/09
Publisher: The Institute of Electronics, Information and Communication EngineersA Study on GPU Implementation of OFDM Transceiver using Dynamic Spectrum Access
TOMITA Kosuke, HATANAKA Masahide, ONOYE Takao
IEICE technical report. Circuits and systems Vol. 114 No. 122 p. 81-86 2014/07/09
Publisher: The Institute of Electronics, Information and Communication EngineersPlacement and routing for enhancing fault avoidance by dynamically partial reconfiguration
KONOURA HIROAKI, MITSUYAMA YUKIO, HASHIMOTO MASANORI, ONOYE TAKAO
IEICE technical report. Dependable computing Vol. 113 No. 498 p. 115-120 2014/03/15
Publisher: The Institute of Electronics, Information and Communication EngineersPlacement and routing for enhancing fault avoidance by dynamically partial reconfiguration
Vol. 2014 No. 20 p. 1-6 2014/03/08
Hardware Implementation of Soft Cascaded SVM Classifier
TAKEUCHI Kazutaka, YU Jaehoon, MIYAMOTO Ryusuke, ONOYE Takao
Vol. 113 No. 467 p. 17-22 2014/03/06
Publisher: The Institute of Electronics, Information and Communication EngineersEvaluating a sequential 3D node localization method based on node-to-node distance information
UKAWA Shohei, SHINADA Tatsuya, ITOH Yuichi, HASHIMOTO Masanori, ONOYE Takao
IEICE technical report. Circuits and systems Vol. 113 No. 463 p. 199-204 2014/03/06
Publisher: The Institute of Electronics, Information and Communication EngineersToward VLSI Reliability Enhancement by Reconfigurable Architecture
Vol. 2013 No. 33 p. 1-1 2013/11/20
Toward VLSI Reliability Enhancement by Reconfigurable Architecture
ONOYE Takao, HASHIMOTO Masanori, MITSUYAMA Yukio, ALNAJJAR Dawood, KONOURA Hiroaki
IEICE technical report. Dependable computing Vol. 113 No. 321 p. 183-183 2013/11/20
Publisher: The Institute of Electronics, Information and Communication EngineersAn Approach to Amplitude Scaling Partial Encryption for Compressed Audio
Vol. 2013 No. 35 p. 1-6 2013/07/11
An image compression method for frame memory size reduction using local feature of image
FUKUHARA Yuki, YAMADA Akihisa, ONOYE Takao
Mathematical Systems Science and its Applications : IEICE technical report Vol. 113 No. 121 p. 183-188 2013/07/11
Publisher: The Institute of Electronics, Information and Communication EngineersFlexible reliability mixed-grained reconfigurable architecture supporting behavioral synthesis
KONOURA Hiroaki, ALNAJJAR Dawood, MITSUYAMA Yukio, OCHI Hiroyuki, IMAGAWA Takashi, NODA Shinichi, WAKABAYASHI Kazutoshi, HASHIMOTO Masanori, ONOYE Takao
IEICE technical report Vol. 113 No. 52 p. 41-46 2013/05/20
Publisher: The Institute of Electronics, Information and Communication EngineersA Study on Electrode Configuration for Distance Estimation based on Capacitive Coupling between Sensor Nodes
SHINADA Tatsuya, HASHIMOTO Masanori, ONOYE Takao
IEICE technical report. Communication systems Vol. 112 No. 486 p. 131-136 2013/03/14
Publisher: The Institute of Electronics, Information and Communication EngineersSelf-Compensation of Manufacturing Variability using On-Chip Sensors
HIGUCHI Yuma, HASHIMOTO Masanori, ONOYE Takao
Technical report of IEICE. VLD Vol. 112 No. 451 p. 13-17 2013/03/04
Publisher: The Institute of Electronics, Information and Communication EngineersA worst-case-aware design methodology for oscillator-based true random number generator with stochastic behavior modeling
AMAKI Takehiko, HASHIMOTO Masanori, MITSUYAMA Yukio, ONOYE Takao
Technical report of IEICE. VLD Vol. 112 No. 451 p. 99-104 2013/03/04
Publisher: The Institute of Electronics, Information and Communication EngineersHardware-oriented fast mode decision algorithm for intra prediction in HEVC
Wenjun Zhao, Takao Onoye, Tian Song
2013 Picture Coding Symposium, PCS 2013 - Proceedings p. 109-112 2013
Publisher: IEEE Computer SocietyHigh-Performance Multiplierless Transform Architecture for HEVC
Wenjun Zhao, Takao Onoye, Tian Song
2013 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) p. 1668-1671 2013
An observational study on fault-avoidance methods using dynamic partial reconfiguration
KONOURA Hiroaki, IMAGAWA Takashi, MITSUYAMA Yukio, HASHIMOTO Masanori, ONOYE Takao
IEICE technical report Vol. 112 No. 325 p. 71-76 2012/11/20
Publisher: The Institute of Electronics, Information and Communication EngineersNeutron Induced Single Event Multiple Transients With Voltage Scaling and Body Biasing
Vol. 2012 No. 42 p. 1-5 2012/11/19
neutron Induced Single Event Multiple Transients With Voltage Scaling and body Biasing
HARADA Ryo, MITSUYAMA Yukio, HASHIMOTO Masanori, ONOYE Takao
IEICE technical report. Dependable computing Vol. 112 No. 321 p. 237-241 2012/11/19
Publisher: The Institute of Electronics, Information and Communication EngineersA-3-6 An On-chip Real-time Supply Voltage Sensor for Debugging Electrical Timing Failures
Ueno Miho, Hashimoto Masanori, Onoye Takao
Proceedings of the Society Conference of IEICE Vol. 2012 p. 53-53 2012/08/28
Publisher: The Institute of Electronics, Information and Communication EngineersAn approach to embedded system implementation of multi-view video decoding
TAKEUCHI Kazutaka, ONOYE Takao
Vol. 112 No. 78 p. 71-76 2012/06/07
Publisher: The Institute of Electronics, Information and Communication EngineersA-20-7 An interactive system of sound field auralization with GPU ray tracer and efficient HRTF filtering
Okada Masashi, Onoye Takao, Kobayashi Wataru
Proceedings of the IEICE General Conference Vol. 2012 p. 328-328 2012/03/06
Publisher: The Institute of Electronics, Information and Communication EngineersAn Approach to Fading Compensation for Dynamic Spectrum Access Wireless Communication Systems
HOMEMOTO Toru, HATANAKA Masahide, ONOYE Takao
IEICE technical report. Signal processing Vol. 111 No. 486 p. 109-114 2012/03/01
Publisher: The Institute of Electronics, Information and Communication EngineersAn Approach to Fading Compensation for Dynamic Spectrum Access Wireless Communication Systems
HOMEMOTO Toru, HATANAKA Masahide, ONOYE Takao
IEICE technical report. Circuits and systems Vol. 111 No. 465 p. 109-114 2012/03/01
Publisher: The Institute of Electronics, Information and Communication EngineersAn Approach to Fading Compensation for Dynamic Spectrum Access Wireless Communication Systems
HOMEMOTO Toru, HATANAKA Masahide, ONOYE Takao
IEICE technical report. Communication systems Vol. 111 No. 467 p. 109-114 2012/03/01
Publisher: The Institute of Electronics, Information and Communication EngineersSignal-Dependent Analog-to-Digital Conversion based on MINIMAX Sampling
HOMJAKOVS Igors, HASHIMOTO Masanori, HIROSE Tetsuya, ONOYE Takao
Technical report of IEICE. ICD Vol. 111 No. 352 p. 105-107 2011/12/15
Publisher: The Institute of Electronics, Information and Communication EngineersAn Oscillator-Based True Random Number Generator with Jitter Amplifier
AMAKI Takehiko, HASHIMOTO Masanori, ONOYE Takao
Technical report of IEICE. ICD Vol. 111 No. 352 p. 87-92 2011/12/15
Publisher: The Institute of Electronics, Information and Communication EngineersA Near-Lossless Image Compression Method for Frame Memory Size Reduction
NAKAMAE Takashi, YAMADA Akihisa, YAMAGUCHI Masayuki, ONOYE Takao
IEICE technical report Vol. 111 No. 103 p. 163-168 2011/06/23
Publisher: The Institute of Electronics, Information and Communication EngineersQuality evaluation of an inpainting-based deinterlacing scheme
MAENO Tatsuo, TSUTSUI Hiroshi, ONOYE Takao
IEICE technical report Vol. 111 No. 78 p. 99-104 2011/06/02
Publisher: The Institute of Electronics, Information and Communication EngineersSurface Layout Recognition using Combination of Visual Cues based on Multiple Segmentations
YU Jaehoon, MIYAMOTO Ryusuke, ONOYE Takao
IEICE technical report Vol. 111 No. 78 p. 105-110 2011/06/02
Publisher: The Institute of Electronics, Information and Communication EngineersAn approach to halo suppression for Retinex-based image enhancement
YOSHIKAWA Satoshi, TSUTSUI Hiroshi, OKUHATA Hiroyuki, ONOYE Takao
IEICE technical report Vol. 111 No. 78 p. 93-98 2011/06/02
Publisher: The Institute of Electronics, Information and Communication EngineersEvaluation of reliability enhancement achieved by fault avoidance on dynamically reconfigurable architectures
KONOURA Hiroaki, MITSUYAMA Yukio, HASHIMOTO Masanori, ONOYE Takao
IEICE technical report Vol. 111 No. 31 p. 31-36 2011/05/05
Publisher: The Institute of Electronics, Information and Communication EngineersEvaluation of Power Gating Structures Focusing on Power Supply Noise with Measurement and Simulation
TAKAI Yasumichi, HASHIMOTO Masanori, ONOYE Takao
IEICE technical report Vol. 110 No. 344 p. 75-80 2010/12/09
Publisher: The Institute of Electronics, Information and Communication EngineersA Design Procedure for Oscillator-Based Physical Random Number Generator with Stochastic Behavior Modeling
Vol. 2010 No. 19 p. 1-6 2010/11/22
Publisher: 情報処理学会3D Sound Rendering for Multiple Sound Sources Based on Fuzzy Clustering
OKADA Masashi, IWANAGA Nobuyuki, MATSUMURA Tomoya, ONOYE Takao, KOBAYASHI Wataru
IEICE Trans. Fundamentals Vol. 93 No. 11 p. 2163-2172 2010/11/01
Publisher: The Institute of Electronics, Information and Communication EngineersA ray tracing simulation of sound diffraction based on analytic secondary source model
OKADA Masashi, ONOYE Takao, KOBAYASHI Wataru
IEICE technical report Vol. 110 No. 239 p. 43-48 2010/10/14
Publisher: The Institute of Electronics, Information and Communication EngineersMeasurement Circuits for Acquiring SET Pulse Width Distribution with Fine Time Resolution
HARADA Ryo, MITSUYAMA Yukio, HASHIMOTO Masanori, ONOYE Takao
IEICE technical report Vol. 110 No. 210 p. 77-82 2010/09/20
Publisher: The Institute of Electronics, Information and Communication EngineersA Study on Efficient Pedestrian Recognition using Markov Chain Monte Carlo
YU Jaehoon, SUGANO Hiroki, MIYAMOTO Ryusuke, ONOYE Takao
IEICE technical report Vol. 110 No. 74 p. 65-70 2010/06/03
Publisher: The Institute of Electronics, Information and Communication EngineersNoise Analysis of Video Sequences Acquired by Consumer Camcorders
YOSHIKAWA Satoshi, TSUTSUI Hiroshi, ONOYE Takao
IEICE technical report Vol. 110 No. 74 p. 93-98 2010/06/03
Publisher: The Institute of Electronics, Information and Communication EngineersApplication Design of Multi-Standard Decoder on Media-Centric Reconfigurable Architecture
MITSUYAMA Yukio, TAKAHASHI Kazuma, IMAI Rintaro, HASHIMOTO Masanori, ONOYE Takao, SHIRAKAWA Isao
The IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences (Japanese edition) A Vol. 93 No. 6 p. 397-413 2010/06/01
Publisher: The Institute of Electronics, Information and Communication EngineersAS-1-4 Robust Subthreshold Circuit Design to Manufacturing and Environmental Variability
Hashimoto Masanori, Fuketa Hiroshi, Onoye Takao
Proceedings of the IEICE General Conference Vol. 2010 p. "S-7"-"S-8" 2010/03/02
Publisher: The Institute of Electronics, Information and Communication EngineersAS-2-2 FPGA Implementation of OFDM Baseband Transceiver with Dynamic Spectrum Access
Hashimoto Ryoji, Tatsuka Toshimitsu, Hatanaka Masahide, Onoye Takao, Hatamoto Hironobu, Ibi Shinsuke, Miyamoto Shinichi, Sampei Seiichi
Proceedings of the IEICE General Conference Vol. 2010 p. "S-11"-"S-12" 2010/03/02
Publisher: The Institute of Electronics, Information and Communication EngineersA Study on Wireless Access Techniques for Ambient Information Society Infrastructure -- An approach from Wireless Distributed Networking Technology --
Seiichi Sampei, Shinsuke Ibi, Shinichi Miyamoto, Takao Onoye, Masahide Hatanaka
電子情報通信学会技術研究報告 No. SR2009-112 p. 143-148 2010/03 Research paper, summary (national, other academic conference)
Special Section on Smart Multimedia & Communication Systems FOREWORD
Takao Onoye, Katsuya Kondo
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E92A No. 11 p. 2800-2800 2009/11 Other
Evaluation of processor architecture for energy efficient subthreshold operation
KURODA DAN, FUKETA HIROSHI, HASHIMOTO MASANORI, ONOYE TAKAO
Vol. 2009 No. 19 p. 1-6 2009/10/09
Publisher: 情報処理学会Evaluation of processor architecture for energy efficient subthreshold operation
KURODA DAN, FUKETA HIROSHI, HASHIMOTO MASANORI, ONOYE TAKAO
IEICE technical report Vol. 109 No. 226 p. 107-112 2009/10/08
Publisher: 電子情報通信学会Location Estimation with Transmission Attenuation in Home Network
Vol. 50 No. 8 p. 1835-1844 2009/08/15
An approach to high speed video decoding scheme for mobile devices
MATSUSHITA Hirotake, KAWAMURA Yuki, ONOYE Takao, OHARA Kazuto, KESHI Ikuo
IEICE technical report Vol. 109 No. 78 p. 19-24 2009/06/04
Publisher: The Institute of Electronics, Information and Communication EngineersA JPEG2000 Codec System Architecture for Single Tile Processing
MASUZAKI Takahiko, TSUTSUI Hiroshi, ONOYE Takao, MIZUNO Yusuke, SASAKI Gen, NAKAMURA Yukihiro
The Journal of the Institute of Image Electronics Engineers of Japan Vol. 38 No. 3 p. 296-304 2009/05/25
Publisher: 画像電子学会Layout Aware Cell Clustering for Body Biasing
HAMAMOTO Koichi, HASHIMOTO Masanori, MITSUYAMA Yukio, ONOYE Takao
IEICE technical report Vol. 108 No. 478 p. 195-200 2009/03/04
Publisher: The Institute of Electronics, Information and Communication EngineersCorrelation Verification between Transistor Variability Model with Body Biasing and Ring Oscillation Frequency in Subthreshold Circuits
FUKETA Hiroshi, HASHIMOTO Masanori, MITSUYAMA Yukio, ONOYE Takao
IEICE technical report Vol. 108 No. 478 p. 201-206 2009/03/04
Publisher: The Institute of Electronics, Information and Communication EngineersA Study on Location Estimation Method for Cognitive Wireless Network Using Dynamic Spectrum Allocation
TATSUKA Toshimitsu, HASHIMOTO Ryoji, WATANABE Kenji, HATANAKA Masahide, ONOYE Takao
IEICE technical report Vol. 108 No. 458 p. 523-528 2009/02/24
Publisher: The Institute of Electronics, Information and Communication EngineersImplementation of OFDM baseband transceiver with dynamic spectrum access for cognitive radio systems
Ryoji Hashimoto, Toshimitsu Tatsuka, Masahide Hatanaka, Takao Onoye, Hironobu Hatamoto, Shinsuke Ibi, Sinichi Miyamoto, Seiichi Sampei
2009 9th International Symposium on Communications and Information Technology, ISCIT 2009 Vol. pp. 658-663 p. 658-663 2009
Coarse-Grained Reconfigurable Architecture with Flexible Reliability
KO Younghun, ALNAJJAR Dawood, MITSUYAMA Yukio, HASHIMOTO Masanori, ONOYE Takao
IEICE technical report Vol. 108 No. 298 p. 79-84 2008/11/10
Publisher: The Institute of Electronics, Information and Communication EngineersSpecial Section on Smart Multimedia & Communication Systems FOREWORD
Akira Taguchi, Takao Onoye
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E91A No. 10 p. 2896-2896 2008/10 Other
A-20-9 Performance Enhancement of CABAC Decoder for H.264/AVC
Hashimoto Ryoji, Kato Kimiya, Fujita Gen, Onoye Takao
Proceedings of the Society Conference of IEICE Vol. 2008 p. 180-180 2008/09/02
Publisher: The Institute of Electronics, Information and Communication EngineersM-048 Development mobile phone user interfaces system based on templates
Nakanishi Masahiro, Ono Shuichiro, Onoye Takao
Vol. 7 No. 4 p. 265-267 2008/08/20
Publisher: Forum on Information TechnologyAn Approach to Room Layout Estimation for Indoor Localization System
WATANABE Kenji, TATSUKA Toshimitsu, HATANAKA Masahide, ONOYE Takao
Vol. 2008 No. 66 p. 129-134 2008/07/10
Publisher: Information Processing Society of Japan (IPSJ)An Approach to Room Layout Estimation for Indoor Localization System
WATANABE Kenji, TATSUKA Toshimitsu, HATANAKA Masahide, ONOYE Takao
Vol. 108 No. 138 p. 129-134 2008/07/10
An Experimental Study on Body-Biasing Layout Style Focusing on Area Efficiency and Speed Controllability
HAMAMOTO Koichi, FUKETA Hiroshi, HASHIMOTO Masanori, MITSUYAMA Yukio, ONOYE Takao
IEICE technical report Vol. 108 No. 106 p. 75-79 2008/06/26
Publisher: The Institute of Electronics, Information and Communication EngineersA 3D Sound Localization Method for Multiple Sound Sources based on Fuzzy Clustering
OKADA Masashi, IWANAGA Nobuyuki, MATSUMURA Tomoya, ONOYE Takao, KOBAYASHI Wataru
IEICE technical report Vol. 108 No. 85 p. 1-6 2008/06/05
Publisher: The Institute of Electronics, Information and Communication EngineersAll Digital Gated Oscillator for Dynamic Supply Noise Measurement
OGASAHARA Yasuhiro, HASHIMOTO Masanori, ONOYE Takao
IEICE technical report Vol. 107 No. 425 p. 17-22 2008/04/11
Publisher: The Institute of Electronics, Information and Communication EngineersA Method Reproducing Embedded Device Operation Response Speed
NAKANISHI Masahiro, KASHITO Kiyotaka, KATAYAMA Michita, ONO Shuichiro, ONOYE Takao
IEICE technical report Vol. 107 No. 553 p. 67-72 2008/03/15
Publisher: The Institute of Electronics, Information and Communication EngineersRealtime implementation of 3-D sound processing on ARM processor
Vol. 70 p. 183-184 2008/03/13
Superposition effect validation of inductive coupling noise based on measurement of interconnect delay variation
OGASAHARA Yasuhiro, HASHIMOTO Masanori, ONOYE Takao
IEICE technical report Vol. 107 No. 507 p. 55-60 2008/03/06
Publisher: The Institute of Electronics, Information and Communication EngineersEmbedded system implementation of sound localization in proximal region
Nobuyuki Iwanaga, Tomoya Matsumura, Akihiro Yoshida, Wataru Kobayashi, Takao Onoye
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E91A No. 3 p. 763-771 2008/03
An Image Compression Method for Frame Memory Reduction
KATO Hiroshi, YAMADA Akihisa, ONOYE Takao
IEICE technical report Vol. 107 No. 476 p. 31-36 2008/02/01
Publisher: The Institute of Electronics, Information and Communication EngineersDynamic supply noise measurement circuit composed of standard cells suitable for in-site SoC power integrity verification
Yasuhiro Ogasahara, Masanori Hashimoto, Takao Onoye
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC Vol. pp. 107-108 p. 107-108 2008
VLSI Architecture of Multi-Symbol CABAC Decoder for H.264/AVC High Profile
KATO Kimiya, HASHIMOTO Ryoji, FUJITA Gen, ONOYE Takao
IEICE technical report Vol. 107 No. 287 p. 65-70 2007/10/18
Publisher: The Institute of Electronics, Information and Communication EngineersValidation of a full-chip simulation model for supply noise and delay dependence on average voltage drop with on-chip delay measurement
Yasuhiro Ogasahara, Takashi Enami, Masanori Hashimoto, Takashi Sato, Takao Onoye
IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS Vol. 54 No. 10 p. 868-872 2007/10
A-4-28 Image Quality Assessment of Quarter-pel Motion Estimation in H.264 Encoding
Kawamura Yuki, Hashimoto Ryoji, Onoye Takao
Proceedings of the Society Conference of IEICE Vol. 2007 p. 89-89 2007/08/29
Publisher: The Institute of Electronics, Information and Communication EngineersA-20-13 An approach to automatic stroke modification based on gravity center of characters
YAMAZAKI Seiichi, MITSUYAMA Yukio, ONOYE Takao
Proceedings of the Society Conference of IEICE Vol. 2007 p. 234-234 2007/08/29
Publisher: The Institute of Electronics, Information and Communication EngineersA-20-16 Performance Enhancement of H.264 CAVLC Decoder for 1080HD
Hashimoto Ryoji, Fujita Gen, Onoye Takao
Proceedings of the Society Conference of IEICE Vol. 2007 p. 237-237 2007/08/29
Publisher: The Institute of Electronics, Information and Communication EngineersAutomatic filter design for 3-D sound movement in embedded applications :
Tsujino Kosuke, Kobayashi Wataru, Onoye Takao, Nakamura Yukihiro
Acoustical science and technology Vol. 28 No. 4 p. 219-229 2007/07/01
Publisher: Acoustical Society of JapanA Multi-Symbol Arithmetic Decoder for JPEG2000
TSUTSUI Hiroshi, FUJITA Norimasa, ONOYE Takao, NAKAMURA Yukihiro
IEICE technical report Vol. 107 No. 93 p. 13-18 2007/06/07
Publisher: The Institute of Electronics, Information and Communication EngineersSelf-heating in short intra-block wires
Vol. 20 p. 7-12 2007/04/23
Publisher: [電子情報通信学会]Improving User Experiences with Services for Embedded Devices
Nakanishi Masahiro, Sakakura Kentaro, Zaima Hiroaki, Ono Syuichiro, Onoye Takao
IPSJ SIG Notes Vol. 2007 No. 34 p. 83-88 2007/03/27
Publisher: Information Processing Society of Japan (IPSJ)A-3-5 Evaluation of Clock Skew in Mesh Style Clock Distribution
Abe Shinya, Hashimoto Masanori, Onoye Takao
Proceedings of the IEICE General Conference Vol. 2007 p. 95-95 2007/03/07
Publisher: The Institute of Electronics, Information and Communication EngineersA-3-6 Area Efficiency Evaluation of Body-biasing Layout Styles for Low Voltage Circuits
Hamamoto Koichi, Hashimoto Masanori, Mitsuyama Yukio, Onoye Takao
Proceedings of the IEICE General Conference Vol. 2007 p. 96-96 2007/03/07
Publisher: The Institute of Electronics, Information and Communication EngineersEfficient 3-D Sound Movement with Time-Varying IIR Filters
TSUJINO Kosuke, KOBAYASHI Wataru, ONOYE Takao, NAKAMURA Yukihiro
IEICE Trans. Fundamentals, A Vol. 90 No. 3 p. 618-625 2007/03/01
Publisher: The Institute of Electronics, Information and Communication EngineersMeasurement of Delay Variation Due to Inductive Coupling Noise in 90nm Global Interconnects
OGASAHARA Yasuhiro, HASHIMOTO Masanori, ONOYE Takao
Vol. 106 No. 467 p. 13-18 2007/01/11
A-3-17 A study on design policy for subthreshold circuits with adders
Fuketa Hiroshi, Hashimoto Masanori, Mitsuyama Yukio, Onoye Takao
Proceedings of the IEICE General Conference Vol. 107 p. 107-107 2007
Publisher: The Institute of Electronics, Information and Communication Engineers電源ノイズによる遅延変動の測定とフルチップシミュレーションによる遅延変動の再現
小笠原 泰弘, 榎並 孝司, 橋本 昌宜, 佐藤 高史, 尾上 孝雄
信学技報 No. ICD2006-174 p. 19-23 2007/01
VLSI Architecture of H.264 Rdo-Based Block Size Decision for 1080HD
Proc. Picture Coding Symposium Vol. TPM4.9.1-TPM4.9.6 2007
Implementation of Object Attention Based on Multi-Agent Attractor Selection
Proc. International Workshop on Smart Info-Media Systems in Bangkok Vol. pp. 28-33 2007
JPM-Based Differential Image Storage Scheme for Image Revision Management System
Proc. Image Electronics and Visual Computing Workshop 2007 2007
Structure Representation and Reference Method for JPEG 2000 Family Formats
Proc. Image Electronics and Visual Computing Workshop 2007 2007
Validation of a Full-Chip Simulation Model for Supply Noise and Delay Dependence on Average Voltage Drop with on-Chip Delay Measurement
IEEE Trans. on Circuits and Systems—II: Express Briefs Vol. vol. 54, no. 10, pp. 868-872 2007
Implementation of Object Attention Based on Multi-Agent Attractor Selection
Proc. International Workshop on Smart Info-Media Systems in Bangkok Vol. pp. 28-33 2007
JPM-Based Differential Image Storage Scheme for Image Revision Management System
Proc. Image Electronics and Visual Computing Workshop 2007 2007
Structure Representation and Reference Method for JPEG 2000 Family Formats
Proc. Image Electronics and Visual Computing Workshop 2007 2007
VLSI Architecture of H.264 Rdo-Based Block Size Decision for 1080HD
Proc. Picture Coding Symposium Vol. TPM4.9.1-TPM4.9.6 2007
A-4-32 Improvement of Direction of Arrival Estimation of Speech using Two-Channel Microphone Array with Angle Position Realignment
Mohd Nor Nadzrul Mohd, Matsumura Tomoya, Onoye Takao
Proceedings of the Society Conference of IEICE Vol. 2006 p. 99-99 2006/09/07
Publisher: The Institute of Electronics, Information and Communication EngineersA-4-12 Intra Prediction Mode Decision Scheme for H.264 based on Temporally and Spatially Adjacent Header Information
Kato Kimiya, Hashimoto Ryoji, Fujita Gen, Onoye Takao
Proceedings of the Society Conference of IEICE Vol. 2006 p. 79-79 2006/09/07
Publisher: The Institute of Electronics, Information and Communication EngineersA-3-14 Future Prediction of Self-heating in Short Intra-block Wires
Shinkai Kenichi, Hashimoto Masanori, Onoye Takao
Proceedings of the Society Conference of IEICE Vol. 2006 p. 58-58 2006/09/07
Publisher: The Institute of Electronics, Information and Communication EngineersMeasurement Results of Delay Degradation Due to Power Supply Noise Well Correlated With Full-chip Simulation
Y. Ogasahara, T. Enami, M. Hashimoto, T. Sato, T. Onoye
IEEE Custom Integrated Circuits Conference (CICC) p. 861-864 2006/09
Efficient VLSI architecture for H.264 CABAC
FUJITA Gen, OHKUBO Keita, JOKO Ken'ichi, SAITSUJI Makoto, ONOYE Takao
IEICE technical report Vol. 106 No. 116 p. 19-23 2006/06/16
Publisher: The Institute of Electronics, Information and Communication EngineersA JPEG Coding Scheme for High Fidelity Images by Halftoning Less Significant Extra Bits and an Examination of Optimizing the Halftone
HYUGA Fumihiko, MASUZAKI Takahiko, TSUTSUI Hiroshi, ONOYE Takao, NAKAMURA Yukihiro
IEICE technical report Vol. 106 No. 96 p. 31-36 2006/06/09
Publisher: The Institute of Electronics, Information and Communication EngineersReduced-Complexity Variational Retinex for Video Image Enhancement
TAKAHASHI Kazuyuki, NOZATO Yoshihiro, OKUHATA Hiroyuki, ONOYE Takao
IEICE technical report Vol. 106 No. 95 p. 13-18 2006/06/08
Publisher: The Institute of Electronics, Information and Communication EngineersAn Approach to 3D Sound Movement for Multiple Sound Sources
IMAFUKU Tetsuya, IWANAGA Nobuyuki, MATSUMURA Tomoya, KOBAYASHI Wataru, ONOYE Takao
IEICE technical report Vol. 105 No. 686 p. 47-52 2006/03/28
Publisher: The Institute of Electronics, Information and Communication EngineersD-11-42 An Approach to H.264 Encoding Parameter Selection based on Amount of Motion
Hashimoto Ryoji, Fujita Gen, Onoye Takao
Proceedings of the IEICE General Conference Vol. 2006 No. 2 p. 42-42 2006/03/08
Publisher: The Institute of Electronics, Information and Communication EngineersWireless Network Protocol for Home Network Based on IEEE 802.15.4
ISE Masanao, OGASAHARA Yasuhiro, WATANABE Kenji, HATANAKA Masahide, ONOYE Takao, NIWAMOTO Hiroaki, KESHI Ikuo, SHIRAKAWA Isao
IEICE technical report Vol. 105 No. 635 p. 19-24 2006/03/06
Publisher: The Institute of Electronics, Information and Communication EngineersImprovement of Power Consumption and Response Time in Wireless Home Network
WATANABE Kenji, ISE Masanao, FUJITA Gen, HATANAKA Masahide, ONOYE Takao, NIWAMOTO Hiroaki, KESHI Ikuo, SHIRAKAWA Isao
IEICE technical report Vol. 105 No. 635 p. 25-30 2006/03/06
Publisher: The Institute of Electronics, Information and Communication EngineersWireless Network Protocol for Home Network Based on IEEE 802.15.4
ISE Masanao, OGASAHARA Yasuhiro, WATANABE Kenji, HATANAKA Masahide, ONOYE Takao, NIWAMOTO Hiroaki, KESHI Ikuo, SHIRAKAWA Isao
IEICE technical report Vol. 105 No. 633 p. 19-24 2006/03/06
Publisher: The Institute of Electronics, Information and Communication EngineersImprovement of Power Consumption and Response Time in Wireless Home Network
WATANABE Kenji, ISE Masanao, FUJITA Gen, HATANAKA Masahide, ONOYE Takao, NIWAMOTO Hiroaki, KESHI Ikuo, SHIRAKAWA Isao
IEICE technical report Vol. 105 No. 633 p. 25-30 2006/03/06
Publisher: The Institute of Electronics, Information and Communication EngineersWireless Network Protocol for Home Network Based on IEEE 802.15.4
ISE Masanao, OGASAHARA Yasuhiro, WATANABE Kenji, HATANAKA Masahide, ONOYE Takao, NIWAMOTO Hiroaki, KESHI Ikuo, SHIRAKAWA Isao
IEICE technical report Vol. 105 No. 637 p. 19-24 2006/03/06
Publisher: The Institute of Electronics, Information and Communication EngineersImprovement of Power Consumption and Response Time in Wireless Home Network
WATANABE Kenji, ISE Masanao, FUJITA Gen, HATANAKA Masahide, ONOYE Takao, NIWAMOTO Hiroaki, KESHI Ikuo, SHIRAKAWA Isao
IEICE technical report Vol. 105 No. 637 p. 25-30 2006/03/06
Publisher: The Institute of Electronics, Information and Communication EngineersPotential Energy-Based Center of Gravity Evaluation of Characters
Akio Kotani, Yoshitaka Tanemura, Yukio Mitsuyama, Takao Onoye, Yoshimi Asai, Yasuhisa Nakamura
Journal of the Institute of Image Electronics Engineers of Japan Vol. 35 No. 4 p. 296-305 2006
メディア処理向け小面積リコンフィギュラブルアーキテクチャ
電子情報通信学会技術研究報告 Vol. RECONF2006-51 2006
視覚特性を考慮した文字の黒み推定に関する一検討
電子情報通信学会技術研究報告 Vol. SIS2007-70 2006
A Gate Delay Model Focusing on Current Fluctuation over Wide-Range of Process Variations
ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems Vol. pp.59-64 2006
電源ノイズ解析のための回路動作部表現法の評価
2006年電子情報通信学会総合大会講演論文集 2006
電流変動に着目した広範囲な製造・環境ばらつき対応ゲート遅延モデル
第19回 回路とシステム(軽井沢)ワークショップ 2006
LSI 配線における容量性, 誘導性クロストークノイズの定量的将来予測
第19回 回路とシステム(軽井沢)ワークショップ 2006
Potential Energy-Based Center of Gravity Evaluation of Characters
Akio Kotani, Yoshitaka Tanemura, Yukio Mitsuyama, Takao Onoye, Yoshimi Asai, Yasuhisa Nakamura
Journal of the Institute of Image Electronics Engineers of Japan Vol. 35 No. 4 p. 296-305 2006
Area-Efficient Reconfigurable Architecture for Media Processing
Vol. RECONF2006-51 2006
Estimation method of typographic color considering visual features
Vol. SIS2007-70 2006
A Gate Delay Model Focusing on Current Fluctuation over Wide-Range of Process Variations
ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems Vol. pp.59-64 2006
Applicability of JPEG 2000 to Revision control of still image
NISHIKAWA Yuuki, HARA Jun'ichi, ONOYE Takao
IPSJ SIG Notes Vol. 2005 No. 124 p. 63-68 2005/12/13
Publisher: Information Processing Society of Japan (IPSJ)VLSI Implementation of High Accuracy Motion Estimation Core for MPEG-4
FUJITA Gen, ONOYE Takao, SHIRAKAWA Isao
The IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences (Japanese edition) A Vol. 88 No. 11 p. 1282-1291 2005/11
Publisher: The Institute of Electronics, Information and Communication EngineersAS-2-3 Implementation Technology of Home Network Platform
ONOYE Takao
Proceedings of the Society Conference of IEICE Vol. 2005 p. "S-25"-"S-26" 2005/09/07
Publisher: The Institute of Electronics, Information and Communication EngineersA-1-22 Efficient SRAM Architecture for JPEG2000 Entropy Coder
Tsutsui Hiroshi, Sugano Hiroki, Masuzaki Takahiko, Ochi Hiroyuki, Onoye Takao, Nakamura Yukihiro
Proceedings of the Society Conference of IEICE Vol. 2005 p. 22-22 2005/09/07
Publisher: The Institute of Electronics, Information and Communication EngineersMeasurement and Evaluation of Delay Variation Due to Inductive and Capacitive Coupling Noise
OGASAHARA Yasuhiro, HASHIMOTO Masanori, ONOYE Takao
Technical report of IEICE. SDM Vol. 105 No. 232 p. 43-48 2005/08/11
Publisher: The Institute of Electronics, Information and Communication EngineersFeature analysis of head-related transfer function for sound localization of nearby sources
YOSHIDA Akihiro, MATSUMURA Tomoya, IWANAGA Nobuyuki, KOBAYASHI Wataru, ONOYE Takao
Technical report of IEICE. EA Vol. 105 No. 230 p. 29-34 2005/08/11
Publisher: The Institute of Electronics, Information and Communication EngineersDesign of Ogg Vorbis Decoder System for Embedded Platform(VLSI Design Technology and CAD, <Special Section>Papers Selected from the 19th Symposium on Signal Processing)
KOSAKA Atsushi, OKUHATA Hiroyuki, ONOYE Takao, SHIRAKAWA Isao
IEICE transactions on fundamentals of electronics, communications and computer sciences Vol. 88 No. 8 p. 2124-2130 2005/08/01
Publisher: The Institute of Electronics, Information and Communication EngineersComparison of Retinex Models for Hardware Implementation
NOZATO Yoshihiro, OKUHATA Hiroyuki, ONOYE Takao, SHIRAKAWA Isao
Vol. 105 No. 112 p. 19-24 2005/06/10
Publisher: The Institute of Electronics, Information and Communication EngineersTechnical Issues of Software Defined Radio for Embedded System Designers
ONOYE Takao
IEICE technical report Vol. 105 No. 36 p. 29-29 2005/05/04
Publisher: The Institute of Electronics, Information and Communication EngineersVLSI Architecture of Motion Compensation Module for Multi-Standard Video Decoder
VERAPORN Watkanad, KIMURA Motoki, FUJITA Gen, ONOYE Takao, SHIRAKAWA Isao
Vol. 104 No. 735 p. 37-43 2005/03/10
Publisher: The Institute of Electronics, Information and Communication EngineersAn Adaptive FEC Assignment Scheme for Motion JPEG2000 Transmission Over Wireless Network
GUO Zhaohui, NISHIKAWA Yuuki, OMAKI Roberto Yusi, ONOYE Takao, SHIRAKAWA Isao
IEICE technical report. Circuits and systems Vol. 104 No. 556 p. 1-6 2005/01/20
Publisher: The Institute of Electronics, Information and Communication EngineersAn Approach to Universal Plug and Play-based Home Network Architecture
RHO Seung-Ryeol, OGASAHARA Yasuhiro, ISE Masanao, HATANAKA Masahide, ONOYE Takao, NIWAMOTO Hiroaki, KESHI Ikuo, SHIRAKAWA Isao
IEICE technical report. Circuits and systems Vol. 104 No. 556 p. 7-12 2005/01/20
Publisher: The Institute of Electronics, Information and Communication EngineersDesign of realtime 3-D sound processing system
TSUJINO K., FURUYA Kazuhiko, KOBAYASHI Wataru, IZUMI Tomonori, ONOYE Takao, NAKAMURA Yukihiro
IEICE Trans. Inf. Syst., D Vol. 88 p. 954-962 2005
Publisher: The Institute of Electronics, Information and Communication EngineersEmbedded 3D sound movement system based on feature extraction of head-related transfer function
T Matsumura, N Iwanaga, W Kobayashi, T Onoye, Shirakawa, I
ICCE: 2005 INTERNATIONAL CONFERENCE ON CONSUMER ELECTRONICS, DIGEST OF TECHNICAL PAPERS Vol. Vol.51,No.1,pp.262-267/, p. 281-282 2005
A-4-10 VLSI desien of low complexity motion estimation algorithm TS-ME for H.264
Handoko Yus Dwi, Song Tian, Fujita Gen, Onoye Takao, Shirakawa Isao
Proceedings of the IEICE General Conference Vol. 2004 p. 101-101 2004/03/08
Publisher: The Institute of Electronics, Information and Communication EngineersA-4-7 Realtime Algorithm for JPEG to MPEG-4 Transcoding
Kawakita Masaru, Fujita Gen, Onoye Takao, Shirakawa Isao
Proceedings of the IEICE General Conference Vol. 2004 p. 98-98 2004/03/08
Publisher: The Institute of Electronics, Information and Communication EngineersA Design Scheme for Data Driven Circuits on PCA - Chip2
OCHI Naoki, YUASA Takafumi, IZUMI Tomonori, ONOYE Takao, NAKAMURA Yukihiro
Vol. 2004 No. 5 p. 59-64 2004/01/22
Publisher: Information Processing Society of Japan (IPSJ)A Design Scheme for Data Driven Circuits on PCA-Chip2
OCHI Naoki, YUASA Takafumi, IZUMI Tomonori, ONOYE Takao, NAKAMURA Yukihiro
Technical report of IEICE. VLD Vol. 103 No. 578 p. 59-64 2004/01/15
Publisher: The Institute of Electronics, Information and Communication Engineers組込みシステム向け IEEE 802.11i 暗号処理回路の実装
電子情報通信学会技術研究報告 2004
文字輪郭を用いた重心位置評価手法の一検討
情報処理学会研究報告 2004
組込みシステム向けIEEE802.11i暗号処理器のアーキテクチャ
電子情報通信学会 第17回 回路とシステム(軽井沢)ワークショップ論文集 2004
メディア処理向けリコンフィギュラブルアーキテクチャに関する一検討
電子情報通信学会 第4回リコンフィギャラブルシステム研究会論文集 2004
Embedded Implementation of IEEE802.11i Cipher Algorithms
2004
Contour-Based Evaluation Method of Center of Gravity on "LCFONT"
2004
Embedded architecture of IEEE802.11i cipher algorithms
Y Mitsuyama, M Kimura, T Onoye, Shirakawa, I
2004 IEEE INTERNATIONAL SYMPOSIUM ON CONSUMER ELECTRONICS, PROCEEDINGS p. 241-246 2004
Coarse-Grained Reconfigurable Architecture Dedicated to Media Processing
TECHNICAL REPORT OF IEICE 2004
Implementation of Java accelerator for high-performance embedded systems
M Kimura, MH Miki, T Onoye, Shirakawa, I
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E86A No. 12 p. 3079-3088 2003/12
A method of interpolating sound image position in 3-D sound synthesis
TSUJINO Kosuke, SHIGIYA Atsuhito, KOBAYASHI Wataru, IZUMI Tomonori, ONOYE Takao, NAKAMURA Yukihiro
IEICE technical report. Circuits and systems Vol. 103 No. 333 p. 55-60 2003/09/29
Publisher: The Institute of Electronics, Information and Communication EngineersCenter of Gravity and Readability on "LCFONT"for Low Resolution Display
KOTANI Akio, KOYAMA Noriyuki, MITSUYAMA Yukio, ONOE Takao
The Journal of the Institute of Image Electronics Engineers of Japan Vol. 32 No. 5 p. 621-628 2003/09/25
Publisher: 画像電子学会Architecture of Cipher Algorithms for Wireless LAN Enhanced Security Standard
KIMURA Motoki, MITSUYAMA Yukio, ONOYE Takao, SHIRAKAWA Isao
Proceedings of the Society Conference of IEICE Vol. 2003 p. 65-65 2003/09/10
Publisher: The Institute of Electronics, Information and Communication EngineersReal-Time Hair Object Detection and Extraction for Video Phone
Imanaka Takaaki, Hontani Kenji, Fujita Gen, Onoye Takao, Shirakawa Isao
Proceedings of the Society Conference of IEICE Vol. 2003 p. 87-87 2003/09/10
Publisher: The Institute of Electronics, Information and Communication EngineersSOUND INTERPOLATION METHOD IN 3-D SOUND LOCALIZATION SYSTEM
Tsujino Kosuke, Shigiya Atsuhito, Kobayashi Wataru, Izumi Tomonori, Onoye Takao, Nakamura Yukihiro
Proceedings of the Society Conference of IEICE Vol. 2003 p. 64-64 2003/09/10
Publisher: The Institute of Electronics, Information and Communication EngineersDesign of Control Logics based on Hand-Shaking Communication Channels on Plastic Cell Architecture
YUASA Takafumi, SOGA Yuuki, OCHI Naoki, IZUMI Tomonori, ONOYE Takao, NAKAMURA Yukihiro
Technical report of IEICE. DSP Vol. 103 No. 147 p. 61-66 2003/06/20
Publisher: The Institute of Electronics, Information and Communication EngineersDesign tools and trial designs for PCA-Chip2
T Okamoto, T Yuasa, T Izumi, T Onoye, Y Nakamura
IEICE TRANSACTIONS ON INFORMATION AND SYSTEMS Vol. E86D No. 5 p. 868-871 2003/05 Rapid communication, short report, research note, etc. (scientific journal)
Object sharing scheme for heterogeneous environment
K Nakagawa, M Kawakita, K Sato, M Minakuchi, T Onoye, T Chiba, Shirakawa, I
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E86A No. 4 p. 813-821 2003/04
VLSI Implementation of Ogg Vorbis Decoder Using Bach C Language
Maeta Shinichi, Yamaguchi Satoshi, Kosaka Atsushi, Okuhata Hiroyuki, Yamada Akihisa, Onoye Takao, Shirakawa Isao
Proceedings of the IEICE General Conference Vol. 2003 p. 75-75 2003/03/03
Publisher: The Institute of Electronics, Information and Communication EngineersA-1-29 自己再構成可能論理デバイスにおける適応的負荷分散モデル
多田 一仁, 湯浅 隆史, 泉 知論, 尾上 孝雄, 中村 行宏
電子情報通信学会総合大会講演論文集 Vol. 2003 p. 29-29 2003/03/03
Publisher: 一般社団法人電子情報通信学会Embedded implementation of acoustic field enhancement for stereo sound sources
N Iwanaga, W Kobayashi, K Furuya, T Onoye, Shirakawa, I
ICCE: 2003 INTERNATIONAL CONFERENCE ON CONSUMER ELECTRONICS, DIGEST OF TECHNICAL PAPERS Vol. Vol.49, No.3, pp.737-741/, p. 256-257 2003
“Hybrid Error Concealment Algorithm for MPEG-4 Video Coding”
Hak-Sop Song, Gen Fujita, Takao Onoe, Isao Shirakawa, Hiroyuki Okada
Journal of the Institute of Image Electronics Engineers of Japan Vol. 32 No. 5 p. 609-620 2003
次世代GNSS受信機用信号処理機構とそのVLSI化設計
電子情報通信学会論文誌 Vol. Vol.J86-A,No.12,pp.1417-1425/, 2003
Performance Estimation at Architecture Level for Embedded Systems
MIZUNO Hiroshi, KOBAYASHI Hiroyuki, ONOYE Takao, SHIRAKAWA Isao
IEICE transactions on fundamentals of electronics, communications and computer sciences Vol. 85 No. 12 p. 2636-2644 2002/12/01
Publisher: The Institute of Electronics, Information and Communication EngineersAn Approach for Variable Ordering for PCA-Chip2 based on the BDD Size
YUASA Takafumi, TOMITA Akihiko, IZUMI Tomonori, ONOYE Takao, NAKAMURA Yukihiro
Technical report of IEICE. DSP Vol. 102 No. 399 p. 19-23 2002/10/17
Publisher: The Institute of Electronics, Information and Communication EngineersApplication of Digital Watermarking to MPEG-4 Bitstream Error Detection
OKADA Hiroyuki, SONG Hak-Sop, FUJITA Gen, ONOE Takao, SHIRAKAWA Isao
The Journal of the Institute of Image Electronics Engineers of Japan Vol. 31 No. 5 p. 900-908 2002/09/25
Publisher: 画像電子学会A Design of JPEG2000 Encoder by Configurable Processor
Tsutsui Hiroshi, Masuzaki Takahiko, Izumi Tomonori, Onoye Takao, Nakamura Yukihiro
Proceedings of the Society Conference of IEICE Vol. 2002 p. 89-89 2002/08/20
Publisher: The Institute of Electronics, Information and Communication EngineersImplementation of Pseudo Random Noise Code Generator for GNSS Receiver
Uchida Tsubasa, Okada Tsutomu, Onoye Takao, Shirakawa Isao
Proceedings of the Society Conference of IEICE Vol. 2002 p. 111-111 2002/08/20
Publisher: The Institute of Electronics, Information and Communication EngineersEmbedded Implementation of 'Out-of-Head' Acoustic Field Enhancement for Stereo Headphones
Iwanaga Nobuyuki, Sakamoto Noriaki, Kobayashi Wataru, Onoye Takao, Shirakawa Isao
Proceedings of the Society Conference of IEICE Vol. 2002 p. 82-82 2002/08/20
Publisher: The Institute of Electronics, Information and Communication EngineersPerformance Evaluation on D-Flip-Flop with Manufacturing Fluctuation
LU Feng, FURUIE Makoto, LEE BuYeol, TANI Sadahiro, UCHIDA Yoshihiro, FUJITA Gen, ONOYE Takao, TSUKIYAMA Shuji, SHIRAKAWA Isao, NISHI Shuji, KUBOTA Yasushi, IMAI Shigeki
Technical report of IEICE. ICD Vol. 102 No. 274 p. 31-36 2002/08/16
Publisher: The Institute of Electronics, Information and Communication EngineersPerformance Evaluation on D-Flip-Flop with Manufacturing Fluctuation
LU Feng, FURUIE Makoto, LEE BuYeol, TANI Sadahiro, UCHIDA Yoshihiro, FUJITA Gen, ONOYE Takao, TSUKIYAMA Shuji, SHIRAKAWA Isao, NISHI Shuji, KUBOTA Yasushi, IMAI Shigeki
Technical report of IEICE. SDM Vol. 102 No. 272 p. 31-36 2002/08/16
Publisher: The Institute of Electronics, Information and Communication EngineersVLSI Implementation of Ogg Vorbis Audio Decoder with Embedded Processor and Specific Hardware
KOSAKA Atsushi, YAMAGUCHI Satoshi, OKUHATA HIROYUKI, ONOYE TAKAO, SHIRAKAWA Isao
Technical report of IEICE. SDM Vol. 102 No. 272 p. 37-42 2002/08/16
Publisher: The Institute of Electronics, Information and Communication EngineersVLSI Implementation of Ogg Vorbis Audio Decoder with Embedded Processor and Specific Hardware
KOSAKA Atsushi, YAMAGUCHI Satoshi, OKUHATA HIROYUKI, ONOYE TAKAO, SHIRAKAWA Isao
Technical report of IEICE. ICD Vol. 102 No. 274 p. 37-42 2002/08/16
Publisher: The Institute of Electronics, Information and Communication EngineersArchitecture of Pseudo Random Noise Code Generator for Next-Generation GNSS Receiver
OKADA Tsutomu, UCHIDA Tsubasa, ONOYE Takao, SHIRAKAWA Isao
Technical report of IEICE. DSP Vol. 102 No. 168 p. 19-24 2002/06/21
Publisher: The Institute of Electronics, Information and Communication EngineersError Detection by Digital Watermarking for MPEG-4 Video Coding
OKADA Hiroyuki, SHIITEV Altan-Erdene, SONG Hak-Sop, FUJITA Gen, ONOYE Takao, SHIRAKAWA Isao
IEICE transactions on fundamentals of electronics, communications and computer sciences Vol. 85 No. 6 p. 1281-1288 2002/06/01
Publisher: The Institute of Electronics, Information and Communication EngineersError detection by digital watermarking for MPEG-4 video coding
H Okada, AE Shiitev, HS Song, G Fujita, T Onoye, Shirakawa, I
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES Vol. E85A No. 6 p. 1281-1288 2002/06
Low Power Implementation of H.263 Version 2 Codec Core Dedicated to Mobile Computing
SONG Tian, FUJITA Gen, ONOYE Takao, SHIRAKAWA Isao
Transactions of Information Processing Society of Japan Vol. 43 No. 5 p. 1161-1170 2002/05/15
Publisher: Information Processing Society of Japan (IPSJ)Scatternet Formation and Efficient Routing Control for Bluetooth-Based Ad Hoc Networks
MITSUYAMA Yukio, IWANAGA Nobuyuki, ONOYE Takao, SHIRAKAWA Isao
Proceedings of the IEICE General Conference Vol. 2002 p. 178-178 2002/03/07
Publisher: The Institute of Electronics, Information and Communication EngineersVLSI Design of Deblocking Filter and Advanced Intra Coding for H.263
Raja Gulistan, Song Tian, Fujita Gen, Onoye Takao, Shirakawa Isao
Proceedings of the IEICE General Conference Vol. 2002 p. 86-86 2002/03/07
Publisher: The Institute of Electronics, Information and Communication EngineersImplementation of 3D Sound Movement by Embedded DSP
Komata Shinya, Sakamoto Noriaki, Kobayashi Wataru, Onoye Takao, Shirakawa Isao
Proceedings of the IEICE General Conference Vol. 2002 p. 165-165 2002/03/07
Publisher: The Institute of Electronics, Information and Communication EngineersAn Embedded Zerotree Wavelet Video Coding Algorithm with Reduced Memory Bandwidth
OMAKI Roberto Y., FUJITA Gen, ONOYE Takao, SHIRAKAWA Isao
IEICE transactions on fundamentals of electronics, communications and computer sciences Vol. 85 No. 3 p. 703-713 2002/03/01
Publisher: The Institute of Electronics, Information and Communication EngineersError Detection Based on Digital Watermarking for MPEG-4 Video Coding
SHIITEV Altan-Erdene, OKADA Hiroyuki, LU Feng, SONG Hak-Sop, FUJITA Gen, ONOYE Takao, SHIRAKAWA Isao
Technical report of IEICE. VLD Vol. 101 No. 694 p. 45-50 2002/02/28
Publisher: The Institute of Electronics, Information and Communication EngineersImplementation of Java Execution Environment for Embedded Systems
KIMURA Motoki, MIKI Morgan Hirosuke, ONOYE Takao, SHIRAKAWA Isao
Vol. 2002 No. 5 p. 115-120 2002/01/23
Publisher: Information Processing Society of Japan (IPSJ)Implementation of Java Execution Environment for Embedded Systems
KIMURA Motoki, MIKI Morgan Hirosuke, ONOYE Takao, SHIRAKAWA Isao
Technical report of IEICE. VLD Vol. 101 No. 577 p. 39-44 2002/01/17
Publisher: The Institute of Electronics, Information and Communication EngineersMultiple-Channel Pipelined Communication Layer of PCA-Chip2
Soga Yuuki, Okamoto Takuya, Sugimoto Shigenori, Izumi Tomonori, Onoye Takao, Nakamura Yukihiro
Proceedings of the Society Conference of IEICE p. 201-202 2002
Publisher: The Institute of Electronics, Information and Communication EngineersAdaptive Rate Control Scheme for JPEG2000 Image Coding
Masuzaki Takahiko, Tsutsui Hiroshi, Izumi Tomonori, Onoye Takao, Nakamura Yukihiro
Proceedings of the IEICE General Conference p. 121-121 2002
Publisher: The Institute of Electronics, Information and Communication EngineersAnalysis of efficiency of logic implementation for Plastic Cell Architecture
SOGA Yuuki, SUGIMOTO Shigenori, IZUMI Tomonori, ONOYE Takao, NAKAMURA Yukihiro
Technical report of IEICE. VLD Vol. 101 No. 468 p. 21-26 2001/11/23
Publisher: The Institute of Electronics, Information and Communication EngineersDesign of a wireless digital video transmission system with data frame selective repeat hybrid ARQ protocol
OHTANI Yoshihiro, KAWAHARA Nobuyuki, NAKAOKA Hiroyuki, TOMARU Tomonobu, MARUYAMA Kazuhito, ONOYE Takao, SHIRAKAWA Isao
Technical report of IEICE. VLD Vol. 101 No. 467 p. 25-30 2001/11/22
Publisher: The Institute of Electronics, Information and Communication EngineersA Layered Digital Matched Filter for W-CDMA
ISE Masanao, UCHIDA Yoshihiro, ONOYE Takao, SHIRAKAWA Isao
Proceedings of the Society Conference of IEICE Vol. 2001 p. 7-7 2001/08/29
Publisher: The Institute of Electronics, Information and Communication EngineersVLSI Architecture of Turbo Code for W-CDMA
UCHIDA Yosihiro, ISE Masanao, ONOYE Takao, SHIRAKAWA Isao
Proceedings of the Society Conference of IEICE Vol. 2001 p. 8-8 2001/08/29
Publisher: The Institute of Electronics, Information and Communication EngineersArchitecture of JPEG2000 Encoder for Fully Scalable Image Coding
Tsutsui Hiroshi, Masuzaki Takahiko, Izumi Tomonori, Onoye Takao, Nakamura Yukihiro
Proceedings of the Society Conference of IEICE Vol. 2001 p. 115-115 2001/08/29
Publisher: The Institute of Electronics, Information and Communication EngineersPower Estimation Method for Embedded Systems
KOBAYASHI Hiroyuki, MIZUNO Hiroshi, ONOYE Takao, SHIRAKAWA Isao
Proceedings of the Society Conference of IEICE Vol. 2001 p. 253-253 2001/08/29
Publisher: The Institute of Electronics, Information and Communication EngineersA study on Error Detection using Digital Watermarking for MPEG-4 Video Transmission
Shiitev Altan-Erdene
Proceedings of the Society Conference of IEICE Vol. 2001 p. 123-123 2001/08/29
Publisher: The Institute of Electronics, Information and Communication EngineersHardware Implementation of 3D Sound Localization System for Monaural Sound Source
Sakamoto Noriaki, Kobayashi Wataru, Onoye Takao, Shirakawa Isao
Proceedings of the Society Conference of IEICE Vol. 2001 p. 116-116 2001/08/29
Publisher: The Institute of Electronics, Information and Communication EngineersVLSI Implementation of High Performance Burst Mode for 128-bit Block Ciphers
MITSUYAMA Yukio, ANDALES Zaldy, ONOYE Takao, SHIRAKAWA Isao
Technical report of IEICE. VLD Vol. 101 No. 144 p. 89-94 2001/06/22
Publisher: The Institute of Electronics, Information and Communication EngineersIEEE1394 Hardware/Software Co-simulation environment and design of its Link layer controller
YAMAMOTO Hirofumi, CHIKAMURA Keishi, IZUMI Tomonori, ONOYE Takao, NAKAMURA Yukihiro
Technical report of IEICE. VLD Vol. 101 No. 144 p. 81-88 2001/06/22
Publisher: The Institute of Electronics, Information and Communication EngineersDSP Implementation of Realtime 3D Sound Localization Algorithm and Its Evaluation
SAKAMOTO Noriaki, KOBAYASHI Wataru, ONOYE Takao, SHIRAKAWA Isao
IEICE technical report. Circuits and systems Vol. 101 No. 142 p. 147-154 2001/06/22
Publisher: The Institute of Electronics, Information and Communication EngineersArchitecture of JPEG2000 encoder for scalable coding
MASUZAKI Takahiko, TSUTSUI Hiroshi, OYAMATSU Masayuki, IZUMI Tomonori, ONOYE Takao, NAKAMURA Yukihiro
Technical report of IEICE. VLD Vol. 101 No. 143 p. 63-70 2001/06/21
Publisher: The Institute of Electronics, Information and Communication EngineersError Concealment Algorithm and Architecture for MPEG-4 Video Transmission
SONG Hak-Sop, SHIITEV Altan-Erdene, OKADA Hiroyuki, FUJITA Gen, ONOYE Takao, SHIRAKAWA Isao
Technical report of IEICE. VLD Vol. 101 No. 143 p. 71-77 2001/06/21
Publisher: The Institute of Electronics, Information and Communication Engineers3D Acoustic Image Localization Algorithm by Embedded DSP
KOBAYASHI Wataru, SAKAMOTO Noriaki, ONOYE Takao, SHIRAKAWA Isao
IEICE Trans. Fundamentals, A Vol. 84 No. 6 p. 1423-1430 2001/06/01
Publisher: The Institute of Electronics, Information and Communication EngineersA Novel Dynamically Reconfigurable Hardware-based Cipher
Zaldy Andales, Yukio Mitsuyama, Takao Onoye, Isao Shirakawa
Transactions of Information Processing Society of Japan Vol. 42 No. 4 p. 958-966 2001/04/15
Publisher: Information Processing Society of Japan (IPSJ)1-11 Realtime 3Dsound Localization Algorithm for Embedded DSP Implementation
KOMATA Shinya, KOBAYASHI Wataru, SAKAMOTO Noriaki, ONOYE Takao, SHIRAKAWA Isao
ITE Winter Annual Convention Vol. 2000 No. 2000 p. 59-59 2000/12/06
Publisher: The Institute of Image Information and Television EngineersA Design of LUT-Array-Based PLD
TOMITA Akihiko, SUGIMOTO Shigenori, TSUTSUI Hiroshi, SAKAI Kazuhisa, HIWADA Kazuhiro, IZUMI Tomonori, ONOYE Takao, NAKAMURA Yukihiro
Technical report of IEICE. VLD Vol. 100 No. 473 p. 173-178 2000/11/23
Publisher: The Institute of Electronics, Information and Communication EngineersAn Error Concealment Algorithm Using Motion Estimation Method for MPEG-4 Video
SONG Haksop, SONG Tian, OKADA Hiroyuki, FUJITA Gen, ONOYE Takao, SHIRAKAWA Isao
Technical report of IEICE. ICD Vol. 100 No. 386 p. 37-43 2000/10/23
Publisher: The Institute of Electronics, Information and Communication EngineersA Codec of H.263 Advanced Intra Coding mode and it's Architecture
SONG Tian, SONG Haksop, FUJITA Gen, ONOYE Takao, SHIRAKAWA Isao
Technical report of IEICE. ICD Vol. 100 No. 386 p. 45-50 2000/10/23
Publisher: The Institute of Electronics, Information and Communication EngineersVLSI Implementation of Java Accelerator for Embedded Processors
MIKI Morgan Hirosuke, ONOYE Takao, SHIRAKAWA Isao
Proceedings of the Society Conference of IEICE Vol. 2000 p. 79-79 2000/09/07
Publisher: The Institute of Electronics, Information and Communication EngineersA Cryptosystem based on Reconfigurable Hardware
MITSUYAMA Yukio, ANDALES Zaldy, ONOYE Takao, SHIRAKAWA Isao
Proceedings of the Society Conference of IEICE Vol. 2000 p. 133-133 2000/09/07
Publisher: The Institute of Electronics, Information and Communication EngineersIEEE1394 System simulation Enviroment and A Design of Its Link Layer Controller
Chikamura Keishi, Izumi Tomonori, Onoye Takao, Nakamura Yukihiro
Proceedings of the Society Conference of IEICE Vol. 2000 p. 88-88 2000/09/07
Publisher: The Institute of Electronics, Information and Communication EngineersA Realtime Algorithm for Three Dimensional Sound Localization
Kobayashi Wataru, Sakamoto Noriaki, Onoye Takao, Shirakawa Isao
Proceedings of the Society Conference of IEICE Vol. 2000 p. 114-114 2000/09/07
Publisher: The Institute of Electronics, Information and Communication EngineersA VLSI Architecture for Shape-Adaptive Descrete Cosine Transformer Dedicated to MPEG-4 Video Codec
KURODA Ryo, FUJITA Gen, ONOYE Takao, SHIRAKAWA Isao
Technical report of IEICE. VLD Vol. 100 No. 120 p. 103-108 2000/06/15
Publisher: The Institute of Electronics, Information and Communication Engineers3D Sound Localization Real-time Algorithm and Its Low Power DSP Implementation
KOBAYASHI Wataru, SAKAMOTO Noriaki, ONOYE Takao, SHIRAKAWA Isao
Technical report of IEICE. VLD Vol. 100 No. 120 p. 97-102 2000/06/15
Publisher: The Institute of Electronics, Information and Communication EngineersLow-Power VLSI Implementation by NMOS 4-Phase Dynamic Logic
Bao-YuSong, Makoto Furuie, Yukihiro Yoshida, Takao Onoye, Isao Shirakawa
Transactions of Information Processing Society of Japan Vol. 41 No. 4 p. 899-907 2000/04/15
Publisher: Information Processing Society of Japan (IPSJ)VLSI Architecture of Switching Control for AAL Type2 Switch
HATANAKA Masahide, MASAKI Toshihiro, ONOYE Takao, MURAKAMI Koso
IEICE transactions on fundamentals of electronics, communications and computer sciences Vol. 83 No. 3 p. 435-441 2000/03/25
Publisher: The Institute of Electronics, Information and Communication EngineersLow-Power Scheme of NMOS 4-Phase Dynamic Logic
SONG Bao-Yu, FURUIE Makoto, YOSHIDA Yukihiro, ONOYE Takao, SHIRAKAWA Isao
IEICE Trans. Electron. Vol. 82 No. 9 p. 1772-1776 1999/09/25
Publisher: The Institute of Electronics, Information and Communication EngineersArray Cell Architecture for NMOS 4-Phase Dynamic Logic
FURUIE Makoto, SONG Bao-Yu, YOSHIDA Yukihiro, ONOYE Takao, SHIRAKAWA Isao
IEICE technical report. Nonlinear problems Vol. 99 No. 324 p. 1-6 1999/09/22
Publisher: The Institute of Electronics, Information and Communication EngineersAn Approach to Reconfigurable Hardware-based Cryptosystems
ANDALES Zaldy, MITSUYAMA Yukio, ASARI Koji, ONOYE Takao, SHIRAKAWA Isao
IEICE technical report. Nonlinear problems Vol. 99 No. 324 p. 7-13 1999/09/22
Publisher: The Institute of Electronics, Information and Communication EngineersVLSI Implementation of Noiseless Decoding and Prediction Cores for MPEG-4 Audio Decoder
HASHIMOTO Shinya, NIWA Akimasa, OKUHATA Hiroyuki, ONOYE Takao, SHIRAKAWA Isao
Proceedings of the Society Conference of IEICE Vol. 1999 p. 47-47 1999/08/16
Publisher: The Institute of Electronics, Information and Communication EngineersA Video Coder Architecture based on Discrete Wavelet Transform
OMAKI Roberto Y., FUJITA Gen, ONOYE Takao, SHIRAKAWA Isao
Technical report of IEICE. VLD Vol. 99 No. 108 p. 21-28 1999/06/11
Publisher: The Institute of Electronics, Information and Communication EngineersHigh Speed Implementation of JBIG Arithmetic Coder
OSHITA Masaru, ONOYE Takao, SHIRAKAWA Isao
Proceedings of the IEICE General Conference Vol. 1999 p. 158-158 1999/03/08
Publisher: The Institute of Electronics, Information and Communication Engineers次世代宇宙通信向き誤り訂正符号用復号器のVLSI化設計 (特集 プロジェクト研究)
尾上 孝雄, 白川 功
生産と技術 Vol. 51 No. 1 p. 96-99 1999/01
Publisher: 生産技術振興協会Low Power Implementation of H.263 Codec Core Dedicated to Mobile Computing
Hirosuke MIKI Morgan, FUJITA Gen, ONOYE Takao, SHIRAKAWA Isao
The Transactions of the Institute of Electronics,Information and Communication Engineers. A Vol. 81 No. 10 p. 1352-1361 1998/10/25
Publisher: The Institute of Electronics, Information and Communication EngineersVLSI Implementation of a Recursive Maximum Likelihood Decoder dedicated to Hihg-Speed Satellite Communication
TAKI Daisuke, Miki Morgan Hirosuke, FUJITA Gen, ONOYE Takao, SHIRAKAWA Isao, FUJIWARA Toru, KASAMI Tadao
Technical report of IEICE. FTS Vol. 98 No. 293 p. 57-62 1998/09/22
Publisher: The Institute of Electronics, Information and Communication EngineersVLSI Implementation of a Recursive Maximum Likelihood Decoder dedicated to High-Speed Satellite Communication
TAKI Daisuke, MIKI Morgan Hirosuke, FUJITA Gen, ONOYE Takao, SHIRAKAWA Isao, FUJIWARA Toru, KASAMI Tadao
Technical report of IEICE. VLD Vol. 98 No. 287 p. 57-62 1998/09/22
Publisher: The Institute of Electronics, Information and Communication EngineersVLSI Implementation of a Recursive Maximum Likelihood Decoder dedicated to High-Speed Satellite Communication
TAKI Daisuke, MIKI Morgan Hirosuke, FUJITA Gen, ONOYE Takao, SHIRAKAWA Isao, FUJIWARA Toru, KASAMI Tadao
Technical report of IEICE. ICD Vol. 98 No. 289 p. 57-62 1998/09/22
Publisher: The Institute of Electronics, Information and Communication EngineersFunction Modules for Video Decoding and Computer Graphics
FUJISHIMA Hideyuki, TAKEMOTO Yusuke, YONEDA Tomokazu, ONOYE Takao, SHIRAKAWA Isao
Technical report of IEICE. VLD Vol. 98 No. 286 p. 31-38 1998/09/21
Publisher: The Institute of Electronics, Information and Communication EngineersBit-Rate Control Algorithm for H.263 Coding
YAMADA Shohei, MIKI Morgan Hirosuke, FUJITA Gen, ONOYE Takao, SHIRAKAWA Isao
Proceedings of the Society Conference of IEICE Vol. 1998 No. 2 p. 299-299 1998/09/07
Publisher: The Institute of Electronics, Information and Communication EngineersReconfigurable Logic based on Ferroelectric Non-volatile Memory
MITSUYAMA Yukio, ASARI Koji, ONOYE Takao, SHIRAKAWA Isao, BABA Takaaki, OHTSUKI Tatsuo
Technical report of IEICE. ICD Vol. 98 No. 244 p. 53-58 1998/08/20
Publisher: The Institute of Electronics, Information and Communication EngineersVLSI Implementation of Function Module for Texture Mapping and Motion Compensation
TAKEMOTO Yusuke, YONEDA Tomokazu, FUJISHIMA Hideyuki, ONOYE Takao, SHIRAKAWA Isao
Technical report of IEICE. VLD Vol. 98 No. 232 p. 19-26 1998/07/31
Publisher: The Institute of Electronics, Information and Communication EngineersHybrid Matrix-Vector Multiplier for Video Decoding and 3-Dimensional Computar Graphics
TAKEMOTO Yusuke, FUJISHIMA Hideyuki, ONOYE Takao, SHIRAKAWA Isao
Proceedings of the IEICE General Conference Vol. 1998 No. 2 p. 147-147 1998/03/06
Publisher: The Institute of Electronics, Information and Communication EngineersImplementation of Encoder-Decoder for Low Bitrate Visual Communication dedicated to Mobile Computing
MIYANOHANA Koji, FUJITA Gen, YANAGIDA Kazuhiro, ONOYE Takao, SHIRAKAWA Isao
Technical report of IEICE. SDM Vol. 97 No. 317 p. 17-24 1997/10/17
Publisher: The Institute of Electronics, Information and Communication EngineersA Low Power Consumption Architecture for Embedded Processors
YOSHIDA Yukihiro, SONG Bao-Yu, OKUHATA Hiroyuki, ONOYE Takao, SHIRAKAWA Isao
The Transactions of the Institute of Electronics,Information and Communication Engineers. A Vol. 80 No. 5 p. 765-771 1997/05/25
Publisher: The Institute of Electronics, Information and Communication EngineersVoice Communication on Multimedia ATM Network and Its VLSI Implementation
MASAKI Toshihiro, NAKATANI Yasuhiro, ONOYE Takao, MURAKAMI Koso
Technical report of IEICE. SSE Vol. 97 No. 2 p. 19-24 1997/04/09
Publisher: The Institute of Electronics, Information and Communication EngineersImplementation of Motion Estimation Core for H.263 Video Coding
FUJITA Gen, ARUNGSRISANGCHAI Itthichai, ONOYE Takao, SHIRAKAWA Isao
Proceedings of the IEICE General Conference Vol. 1997 No. 2 p. 300-301 1997/03/06
Publisher: The Institute of Electronics, Information and Communication EngineersVLSI Implementation of DCT/IDCT Core for H.263 Video Coding
FUJITA Gen, ONOYE Takao, SHIRAKAWA Isao
Proceedings of the Society Conference of IEICE Vol. 12 p. 111-111 1997
Publisher: The Institute of Electronics, Information and Communication EngineersLow Bitrate Video Coding Algorithm and its VLSI Implementation
MIYANOHANA Koji, FUJITA Gen, ONOYE Takao, SHIRAKAWA Isao
Technical report of IEICE. DSP Vol. 96 No. 301 p. 33-38 1996/10/18
Publisher: The Institute of Electronics, Information and Communication EngineersA lowpower Consumption Scheme for Embedded Processor
YOSHIDA Yukihiro, SONG Bao-Yu, OKUHATA Hiroyuki, ONOYE Takao, SHIRAKAWA Isao
Proceedings of the Society Conference of IEICE Vol. 1996 p. 247-248 1996/09/18
Publisher: The Institute of Electronics, Information and Communication EngineersVoice Transmission over ATM with Shared VCI cell
MASAKI Toshihiro, NAKATANI Yasuhiro, ONOYE Takao, MURAKAMI Kozo
Proceedings of the Society Conference of IEICE Vol. 1996 No. 2 p. 688-689 1996/09/18
Publisher: The Institute of Electronics, Information and Communication EngineersVLSI Implementation of Coompensation Unit for MPEG2 Decoder
Sato Yoh, Morimoto Yasuo, Onoye Takao, Shirakawa Isao
Proceedings of the IEICE General Conference Vol. 1996 p. 448-449 1996/03/11
Publisher: The Institute of Electronics, Information and Communication EngineersVLSI Implementation of Inverse Discrete Cosine Transformer and Motion Compensator for MPEG2 HDTV Video Decoding
Toshihiro Masaki, Yasuo Morimoto, Takao Onoye, Isao Shirakawa
IEEE Trans. Circuits and Systems for Video Technology Vol. 5 No. 5 p. 387-395 1995/10
HDTV Level MPEG2 Variable Length Decoder and Inverse Quantizer Architecture
ONOYE Takao, MORIMOTO Yasuo, MASAKI Toshihiro, SHIRAKAWA Isao
Proceedings of the IEICE General Conference Vol. 1995 p. 189-189 1995/03/27
Publisher: The Institute of Electronics, Information and Communication EngineersVLSI Implementation of a Decoding Processor Architecture for MPEG2 HDTV Level
MASAKI Toshihiro, MORIMOTO Yasuo, ONOYE Takao, SHIRAKAWA Isao
Vol. 94 No. 475 p. 9-16 1995/01/27
A VLSI-suited motion estimation algorithm based on macroblock clustering
ONOYE T.
IEICE Technical Report p. CAS95-43 1995
Micro - architecture of Multi - threaded Processor for Image Generation
Vol. 1994 No. 13 p. 89-96 1994/01/27
Design of a multithreaded processor dedicated to image generation: High-level synthesis design
Masaki Toshihiro, Onoe Takao, Hirata Hiroaki, Kimura Kozo, Asahara Shigeo, Sagishima Takayuki, Shirakawa Isao
IEICE technical report. Circuits and systems Vol. 93 No. 432 p. 67-74 1994/01/21
Publisher: The Institute of Electronics, Information and Communication EngineersDesign of a multithreaded processor dedicated to image generation : Ditailed architecture
Onoe Takao, Masaki Toshihiro, Hirata Hiroaki, Kimura Kozo, Asahara Shigeo, Sagishima Takayuki, Shirakawa Isao
IEICE technical report. Circuits and systems Vol. 93 No. 432 p. 61-66 1994/01/21
Publisher: The Institute of Electronics, Information and Communication EngineersA-93 HDTV Level MPEG2 Decoder Core Architecture
ONOYE Takao, MORIMOTO Yasuo, MASAKI Toshihiro, SHIRAKAWA Isao
p. 93-93 1994
Publisher: The Institute of Electronics, Information and Communication Engineers画像LSIシステム設計技術
コロナ社 2003
阪大フロンティア研究機構
2002 - 2004
Enhancing grid stability in PV systems: A novel ramp rate control method utilizing PV cooling technology
Iwabuchi Koki, Watari Daichi, Zhao Dafang, Taniguchi Ittetsu, Catthoor Francky, Onoye Takao
Applied Energy Vol. 378 No. A 2025/01/15
Non-intrusive thermal load disaggregation and forecasting for effective HVAC systems
Kaneko Naoya, Okazawa Kazuki, Zhao Dafang, Nishikawa Hiroki, Taniguchi Ittetsu, Murayama Hiroyuki, Yura Yoshinori, Okamoto Masakazu, Catthoor Francky, Onoye Takao
Applied Energy Vol. 367 2024/08/01
Duck Curve Aware Dynamic Pricing and Battery Scheduling Strategy Using Reinforcement Learning
Watari Daichi, Taniguchi Ittetsu, Onoye Takao
IEEE Transactions on Smart Grid Vol. 15 No. 1 p. 457-471 2023/06/21
Multi-time scale energy management framework for smart PV systems mixing fast and slow dynamics
Watari Daichi, Taniguchi Ittetsu, Goverde Hans, Manganiello Patrizio, Shirazi Elham, Catthoor Francky, Onoye Takao
Applied Energy Vol. 289 2021/05/01
Research Infrastructure and Human Resource Development in the Era of Open Science Implementation and Prospects in Japan
Ganguly Raman, Gergely Éva, Tomiura Yoichi, Date Susumu, Yoshiga Natsuko, Kai Naoto, Onoye Takao, Harumoto Kaname
A Study on VLSI Implementation of High-Quality Image Generation and Motion Picture Coding
尾上 孝雄