JA

Profile

Research

Social

Other

Sakai Akira

酒井 朗

Graduate School of Engineering Science Department of Systems Innovation, Professor

Research Areas

  • Nanotechnology/Materials, Inorganic materials
  • Nanotechnology/Materials, Thin-film surfaces and interfaces
  • Nanotechnology/Materials, Crystal engineering
  • Nanotechnology/Materials, Applied materials

Papers

  • Micro- and Nanostructure Analysis of Vapor-Phase-Grown AlN on Face-to-Face Annealed Sputtered AlN/Nanopatterned Sapphire Substrate Templates, Yudai Nakanishi,Yusuke Hayashi,Takeaki Hamachi,Tetsuya Tohei,Yoshikata Nakajima,Shiyu Xiao,Kanako Shojiki,Hideto Miyake,Akira Sakai, Journal of Electronic Materials, Springer Science and Business Media LLC, 2023/03/29
  • Comprehensive analysis of current leakage at individual screw and mixed threading dislocations in freestanding GaN substrates, Takeaki Hamachi,Tetsuya Tohei,Yusuke Hayashi,Masayuki Imanishi,Shigeyoshi Usami,Yusuke Mori,Akira Sakai, Scientific Reports, Springer Science and Business Media LLC, Vol. 13, No. 1, 2023/02/10
  • High-temperature operation of gallium oxide memristors up to 600 K, Kento Sato,Yusuke Hayashi,Naoki Masaoka,Tetsuya Tohei,Akira Sakai, Scientific Reports, Springer Science and Business Media LLC, Vol. 13, No. 1, 2023/01/30
  • Interface engineering of amorphous gallium oxide crossbar array memristors for neuromorphic computing, Naoki Masaoka,Yusuke Hayashi,Tetsuya Tohei,Akira Sakai, Japanese Journal of Applied Physics, IOP Publishing, Vol. 62, No. SC, p. SC1035-SC1035, 2023/01/24
  • Gate-tunable plasticity in artificial synaptic devices based on four-terminal amorphous gallium oxide memristors, Taishi Ikeuchi,Yusuke Hayashi,Tetsuya Tohei,Akira Sakai, Applied Physics Express, IOP Publishing, Vol. 16, No. 1, p. 015509-015509, 2023/01/01
  • Molecular beam homoepitaxy of N-polar AlN: Enabling role of aluminum-assisted surface cleaning, Zexuan Zhang,Yusuke Hayashi,Tetsuya Tohei,Akira Sakai,Vladimir Protasenko,Jashan Singhal,Hideto Miyake,Huili Grace Xing,Debdeep Jena,YongJin Cho, Science Advances, American Association for the Advancement of Science (AAAS), Vol. 8, No. 36, 2022/09/09
  • Versatile Functionality of Four-Terminal TiO2-x Memristive Devices as Artificial Synapses for Neuromorphic Computing, Ryotaro Miyake,Zenya Nagata,Kenta Adachi,Yusuke Hayashi,Tetsuya Tohei,Akira Sakai, ACS APPLIED ELECTRONIC MATERIALS, AMER CHEMICAL SOC, Vol. 4, No. 5, p. 2326-2336, 2022/05
  • Analysis of inverse-piezoelectric-effect-induced lattice deformation in AlGaN/GaN high-electron-mobility transistors by time-resolved synchrotron radiation nanobeam X-ray diffraction, Haruna Shiomi,Akira Ueda,Tetsuya Tohei,Yasuhiko Imai,Takeaki Hamachi,Kazushi Sumitani,Shigeru Kimura,Yuji Ando,Tamotsu Hashizume,Akira Sakai, Applied Physics Express, IOP Publishing, Vol. 14, No. 9, p. 095502-095502, 2021/09/01
  • Thermal strain analysis considering in-plane anisotropy for sputtered AlN on c- and a-plane sapphire under high-temperature annealing, Yusuke Hayashi,Kenjiro Uesugi,Kanako Shojiki,Tetsuya Tohei,Akira Sakai,Hideto Miyake, AIP Advances, AIP Publishing, Vol. 11, No. 9, p. 095012-095012, 2021/09/01
  • Propagation of threading dislocations and effects of Burgers vectors in HVPE-grown GaN bulk crystals on Na-flux-grown GaN substrates, T. Hamachi,T. Tohei,Y. Hayashi,M. Imanishi,S. Usami,Y. Mori,N. Ikarashi,A. Sakai, Journal of Applied Physics, AIP Publishing, Vol. 129, No. 22, p. 225701-225701, 2021/06/14
  • Local piezoelectric properties in Na-flux GaN bulk single crystals, A. Ueda,T. Hamachi,A. Okazaki,S. Takeuchi,T. Tohei,M. Imanishi,M. Imade,Y. Mori,A. Sakai, Journal of Applied Physics, AIP Publishing, Vol. 128, No. 12, p. 125110-125110, 2020/09/28
  • Fabrication of GaO x based crossbar array memristive devices and their resistive switching properties, Mamoru Joko,Yusuke Hayashi,Tetsuya Tohei,Akira Sakai, Japanese Journal of Applied Physics, IOP Publishing, Vol. 59, No. SM, p. SMMC03-SMMC03, 2020/07/01
  • Gate Tuning of Synaptic Functions Based on Oxygen Vacancy Distribution Control in Four-Terminal TiO2−x Memristive Devices, Zenya Nagata,Takuma Shimizu,Tsuyoshi Isaka,Tetsuya Tohei,Nobuyuki Ikarashi,Akira Sakai, Scientific Reports, Springer Science and Business Media LLC, Vol. 9, No. 1, p. 10013-1-10013-7, 2019/12
  • Demonstrative operation of four-terminal memristive devices fabricated on reduced TiO2 single crystals, Shotaro Takeuchi,Takuma Shimizu,Tsuyoshi Isaka,Tetsuya Tohei,Nobuyuki Ikarashi,Akira Sakai, Scientific Reports, Springer Science and Business Media LLC, Vol. 9, No. 1, 2019/12
  • Quantitative analysis of lattice plane microstructure in the growth direction of a modified Na-flux GaN crystal using nanobeam X-ray diffraction, Kazuki Shida,Nozomi Yamamoto,Tetsuya Tohei,Masayuki Imanishi,Yusuke Mori,Kazushi Sumitani,Yasuhiko Imai,Shigeru Kimura,Akira Sakai, Japanese Journal of Applied Physics, IOP Publishing, Vol. 58, No. SC, p. SCCB16-1-SCCB16-6, 2019/06/01
  • Correlation between current leakage and structural properties of threading dislocations in GaN bulk single crystals grown using a Na-flux method, Takeaki Hamachi,Tetsuya Tohei,Masayuki Imanishi,Yusuke Mori,Akira Sakai, Japanese Journal of Applied Physics, IOP Publishing, Vol. 58, No. SC, p. SCCB23-SCCB23, 2019/06/01
  • Local current leakage at threading dislocations in GaN bulk single crystals grown by a modified Na-flux method, Takeaki Hamachi,Tetsuya Tohei,Masayuki Imanishi,Yusuke Mori,Akira Sakai, Japanese Journal of Applied Physics, IOP Publishing, Vol. 58, No. 5, p. 050918-050918, 2019/05/01
  • Depth-resolved analysis of lattice distortions in high-Ge-content SiGe/compositionally graded SiGe films using nanobeam x-ray diffraction, Kazuki Shida,Shotaro Takeuchi,Tetsuya Tohei,Yasuhiko Imai,Shigeru Kimura,Andreas Schulze,Matty Caymax,Akira Sakai, Semiconductor Science and Technology, IOP Publishing, Vol. 33, No. 12, p. 124005-124005, 2018/12/01
  • Quantitative analysis of lattice plane microstructure in the growth direction of a modified Na-flux GaN crystal using nanobeam X-ray diffraction, K. Shida,T. Tohei,M. Imanishi,Y. Mori,K. Sumitani,Y. Imai,S. Kimura,A. Sakai, International Workshop on Nitride Semiconductors (IWN 2018), 2018/11
  • Dislocation Properties in Bulk GaN Substrates, IDGN-4, 2018/11
  • Local electrical and structural analysis for threading dislocations in the modified Na-flux GaN bulk single crystals, T. Hamachi,T. Tohei,M. Imanishi,Y. Mori,A. Sakai, International Workshop on Nitride Semiconductors (IWN 2018), 2018/11
  • Defect characterization in nitride semiconductor bulk materials, Akira Sakai, International Workshop on Nitride Semiconductors (IWN 2018), 2018/11
  • Gate-Tuning of Synaptic Functions Based on The Oxygen Vacancy Distribution Control in Four-Terminal TiO2-x Memristive Devices, Zenya Nagata,Takuma Shimizu,Tsuyoshi Isaka,Tetsuya Tohei,Akira Sakai, 2018 International Conference on Solid State Devices and Materials (SSDM2018), 2018/09
  • Nanobeam X-ray diffraction analysis of local lattice distortions in the growth direction of a modified Na-flux GaN bulk crystal, K. Shida,S. Takeuchi,T. Tohei,M. Imanishi,Y. Mori,K. Sumitani,Y. Imai,S. Kimura,A. Sakai, International Symposium on Growth of III-Nitrides (ISGN-7), 2018/08
  • Leakage current analysis for individual dislocations in the modified Na-flux GaN bulk single crystal, T. Hamachi,S. Takeuchi,T. Tohei,M. Imanishi,Y. Mori,A. Sakai, International Symposium on Growth of III-Nitrides (ISGN-7), 2018/08
  • Three-dimensional structural and defect analysis by nanobeam X-ray diffraction for semiconductor materials, Akira Sakai, THERMEC'2018, 2018/07
  • Facile Synthesis Route of Au-Ag Nanostructures Soaked in PEG, E. K. Fodjo,A. Canlier,C. Kong,A. Yurtsever,P. L. A. Guillaume,F. T. Patrice,M. Abe,T. Tohei,A. Sakai, Advances in Nanoparticles, 2018/07
  • Analysis of Ti valence states in resistive switching regions of a rutile TiO2− x four-terminal memristive device, Kengo Yamaguchi,Shotaro Takeuchi,Tetsuya Tohei,Nobuyuki Ikarashi,Akira Sakai, Japanese Journal of Applied Physics, IOP Publishing, Vol. 57, No. 6S3, p. 06KB02-06KB02, 2018/06/01
  • Tomographic mapping analysis of lattice distortions in the depth direction of high-Ge-content SiGe films with compositionally graded buffer layers using nanobeam X-ray diffraction, K. Shida,S. Takeuchi,T. Tohei,Y. Imai,S. Kimura,A. Schulze,M. Caymax,A. Sakai, 1st Joint ISTDM/ICSI 2018 Conference, 2018/05
  • Leakage current analysis for dislocations in Na-flux GaN bulk single crystals by conductive atomic force microscopy, T. Hamachi,S. Takeuchi,T. Tohei,M. Imanishi,M. Imade,Y. Mori,A. Sakai, Journal of Applied Physics, AIP Publishing, Vol. 123, No. 16, p. 161417-161417, 2018/04/28
  • Microstructural analysis in the depth direction of a heteroepitaxial AlN thick film grown on a trench-patterned template by nanobeam X-ray diffraction, K. Shida,S. Takeuchi,T. Tohei,H. Miyake,K. Hiramatsu,K. Sumitani,Y. Imai,S. Kimura,A. Sakai, Journal of Applied Physics, AIP Publishing, Vol. 123, No. 16, p. 161563-161563, 2018/04/28
  • Three-dimensional analysis of defect-related singularity structures in semiconductor materials, A. Sakai,S. Takeuchi,K. Shida,S. Kamada,T. Tohei,Y. Imai,S. Kimura,H. Miyake,K. Hiramatsu, OIST-Singularity Project Joint Workshop, 2018/04
  • Resistive switching characteristics of isolated core-shell iron oxide/germanium nanocrystals epitaxially grown on Si substrates, Hideki Matsui,Takafumi Ishibe,Tsukasa Terada,Shunya Sakane,Kentaro Watanabe,Shotaro Takeuchi,Akira Sakai,Shigeru Kimura,Yoshiaki Nakamura, Applied Physics Letters, American Institute of Physics Inc., Vol. 112, No. 3, p. 031601-1-031601-4, 2018/01/15
  • Nanobeam X-ray diffraction analysis of lattice deformation in thin nano-indented single crystal Si wafers, Y. Mametsuka,S. Takeuchi,T. Tohei,K. Sumitani,Y. Imai,S. Kimura,J. Fujise,T. Ono,A. Sakai, 2018/01
  • Leakage current analysis in GaN-on-GaN p-n diode by conductive atomic force microscopy, S. Mizutani,T. Hamachi,S. Takeuchi,T. Tohei,T. Kachi,S. Sarayama,A. Sakai, 21st SANKEN International Symposium /The 16th SANKEN Nanotechonology International Symposium, 2018/01
  • Resistive switching characteristics of four-terminal TiO2-x single crystal memristive devices, Takuma Shimizu,Shotaro Takeuchi,Tetsuya Tohei,Akira Sakai, 2017 International Workshop on Dielectric Thin Films for Future Electron Devices Science and Technology (2017 IWDTF), 2017/11
  • Analysis of Ti valence state in resistive switching region of rutile TiO2-x four-terminal memristive device, Kengo Yamaguchi,Shotaro Takeuchi,Tetsuya Tohei,Nobuyuki Ikarashi,Akira Sakai, 2017/11
  • Quantification of local strain distributions in nanoscale strained SiGe FinFET structures, Shogo Mochizuki,Conal E. Murray,Anita Madan,Teresa Pinto,Yun-Yu Wang,Juntao Li,Weihao Weng,Hemanth Jagannathan,Yasuhiko Imai,Shigeru Kimura,Shotaro Takeuchi,Akira Sakai, JOURNAL OF APPLIED PHYSICS, AMER INST PHYSICS, Vol. 122, No. 13, p. 135705-1-135705-10, 2017/10
  • Control of dislocation morphology and lattice distortion in Na-flux GaN crystals, S. Takeuchi,Y. Mizuta,M. Imanishi,M. Imade,Y. Mori,K. Sumitani,Y. Imai,S. Kimura,A. Sakai, Journal of Applied Physics, AIP Publishing, Vol. 122, No. 10, p. 105303-105303, 2017/09/14
  • Valence state analysis of Ti in resistive switching region of rutile TiO2-x single crystals memristor, Kengo Yamaguchi,Shotaro Takeuchi,Takuma Shimizu,Tetsuya Tohei,Nobuyuki Ikarasi,Akira Sakai, 2017/09
  • Epitaxial multilayers of beta-FeSi2 nanodots/Si for Si-based nanostructured electronic materials, Shunya Sakane,Masayuki Isogawa,Kentaro Watanabe,Jun Kikkawa,Shotaro Takeuchi,Akira Sakai,Yoshiaki Nakamura, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A, A V S AMER INST PHYSICS, Vol. 35, No. 4, 2017/07
  • Characterization of local piezoelectric property in Na flux GaN bulk single crystals, A. Ueda,S. Takeuchi,M. Imanishi,M. Imade,Y. Mori,A. Sakai, 29th International Conference on Defects in Semiconductors, 2017/07
  • Leakage current analysis for dislocations in Na flux GaN bulk single crystals by conductive atomic force microscopy, T. Hamachi,S. Takeuchi,M. Imanishi,M. Imade,Y. Mori,A. Sakai, 29th International Conference on Defects in Semiconductors, 2017/07
  • In-depth microstructural analysis of heteroepitaxial AlN thick films grown on trench-patterned templates by nanobeam X-ray diffraction, K. Shida,S. Takeuchi,H. Miyake,K. Hiramatsu,K. Sumitani,Y. Imai,S. Kimura,A. Sakai, 29th International Conference on Defects in Semiconductors, 2017/07
  • Control of dislocation propagation behaviors in Na flux GaN bulk crystals, S. Takeuchi,Y. Mizuta,M. Imanishi,M. Imade,Y. Mori,Y. Imai,S. Kimura,A. Sakai, The 12th International Conference on Nitride Semiconductors (ICNS-12), 2017/07
  • Nano beam X-ray diffraction analysis of Na flux GaN bulk crystals grown with controlling seed crystal surfaces and growth mode, S. Takeuchi,Y. Mizuta,M. Imanishi,M. Imade,Y. Mori,Y. Imai,S. Kimura,A. Sakai, The 12th International Conference on Nitride Semiconductors (ICNS-12), 2017/07
  • Tomographic Mapping Analysis in the Depth Direction of High-Ge-Content SiGe Layers with Compositionally Graded Buffers Using Nanobeam X-ray Diffraction, Kazuki Shida,Shotaro Takeuchi,Yasuhiko Imai,Shigeru Kimura,Andreas Schulze,Matty Caymax,Akira Sakai, ACS Applied Materials & Interfaces, American Chemical Society (ACS), Vol. 9, No. 15, p. 13726-13732, 2017/04/19
  • Study on the influence of different trench-patterned templates on the crystalline microstructure of AIN epitaxial films by X-ray microdiffraction, Dinh Thanh Khan,Shotaro Takeuchi,Yoshiaki Nakamura,Kunihiko Nakamura,Takuji Arauchi,Hideto Miyake,Kazumasa Hiramatsu,Yasuhiko Imai,Shigeru Kimura,Akira Sakai, JAPANESE JOURNAL OF APPLIED PHYSICS, IOP PUBLISHING LTD, Vol. 56, No. 2, p. 025502-1-025502-5, 2017/02
  • Structural characterization of defects in nitride semiconductor materials, A. Sakai, 2017/01
  • Reversible resistive switching by the voltage-driven control of oxygen vacancy distribution in four terminal planar TiO2-x-based devices, T. Shimizu,M. Shimotani,S. Takeuchi,A. Sakai, 2016/12
  • Nanobeam X-ray diffraction for tomographic mapping analysis of high Ge content Si1-yGey/compositionally graded Si1-xGex stacked structure, K. Shida,S. Takeuchi,Y. Imai,S. Kimura,A. Shulze,M. Caymax,A. Sakai, 2016/12
  • Demonstration of reversible resistive switching by the control of oxygen vacancy distribution in rutile TiO2-x single crystals, T. Shimizu,M. Shimotani,S. Takeuchi,A. Sakai, 2016/11
  • Nano beam X-ray diffraction analysis of microstructures in Na-flux GaN bulk crystals grown with controlling seed crystal surfaces and growth mode, Y. Mizuta,S. Takeuchi,M. Imanishi,M. Imade,Y. Imai,S. Kimura,Y. Mori,A. Sakai, 2016/11
  • Tomographic mapping analysis of high Ge composition SiGe layers with compositionally graded buffers by nanobeam X-ray diffraction, K. Shida,S. Takeuchi,Y. Imai,S. Kimura,A. Shulze,M. Caymax,A. Sakai, 2016/11
  • Microstructural analysis of an epitaxial AlN thick film/trench-patterned template by three-dimensional reciprocal lattice space mapping technique, Shohei Kamada,Shotaro Takeuchi,Dinh Thanh Khan,Hideto Miyake,Kazumasa Hiramatsu,Yasuhiko Imai,Shigeru Kimura,Akira Sakai, APPLIED PHYSICS EXPRESS, IOP PUBLISHING LTD, Vol. 9, No. 11, p. 111001-1-111001-4, 2016/11
  • Three-dimensional reciprocal space mapping analysis for localized structures and defects in nitride semiconductor materials, A. Sakai,S. Takeuchi, 2016/10
  • Tomographic mapping analysis of high Ge content SiGe epitaxial films with compositionally graded layers by X-ray microdiffraction, K. Shida,S. Takeuchi,Y. Imai,S. Kimura,A. Sakai, 2016/08
  • Interface and dislocation structures in Na flux GaN grown on MOCVD-GaN, S. Takeuchi,H. Asazu,Y. Mizuta,M. Imanishi,M. Imade,Y. Mori,A. Sakai, 2016/08
  • Crystalline structure of TiC ultrathin layers formed on highly oriented pyrolytic graphite by chemical reaction from Ti/graphite system, Nakatsuka Osamu,Hisada Kenji,Oida Satoshi,Sakai Akira,Zaima Shigeaki, Japanese Journal of Applied Physics, IOP publishing, Vol. 55, No. 6, p. 06JE02-06JE02, 2016/06
  • Characterization of local strain in nanoscale strained SiGe FinFET structures, S. Mochizuki,C. E. Murray,A. Madan,T. Pinto,Y. Y. Wang,J. Li,W. Weng,H. Jagannathan,Y. Imai,S. Kimura,S. Takeuchi,A. Sakai, 2016/06
  • Nanostructure driven defect control in GaN grown by the Na flux method, A. Sakai,H. Asazu,S. Takeuchi,Y. Nakamura,M.Imanishi,M. Imade,Y. Mori, 2016/06
  • Epitaxial iron oxide nanocrystals with memory function grown on Si substrates, Takafumi Ishibe,Hideki Matsui,Kentaro Watanabe,Shotaro Takeuchi,Akira Sakai,Yoshiaki Nakamura, APPLIED PHYSICS EXPRESS, IOP PUBLISHING LTD, Vol. 9, No. 5, p. 055508-1-055508-4, 2016/05
  • Positional dependence of defect distribution in semipolar (20(2)over-bar1) hydride vapor phase epitaxy-GaN films grown on (22(4)over-bar3) patterned sapphire substrates, Toshiro Uchiyama,Shotaro Takeuchi,Shohei Kamada,Takuji Arauchi,Yasuhiro Hashimoto,Keisuke Yamane,Narihito Okada,Yasuhiko Imai,Shigeru Kimura,Kazuyuki Tadatomo,Akira Sakai, JAPANESE JOURNAL OF APPLIED PHYSICS, IOP PUBLISHING LTD, Vol. 55, No. 5, 2016/05
  • Positional dependence of defect distribution in semipolar [Formula: see text] hydride vapor phase epitaxy-GaN films grown on [Formula: see text] patterned sapphire substrates, Uchiyama Toshiro,Takeuchi Shotaro,Kamada Shohei,Arauchi Takuji,Hashimoto Yasuhiro,Yamane Keisuke,Okada Narihito,Imai Yasuhiko,Kimura Shigeru,Tadatomo Kazuyuki,Sakai Akira, Jpn. J. Appl. Phys., Institute of Physics, Vol. 55, No. 5, 2016/04/12
  • Independent control of electrical and heat conduction by nanostructure designing for Si-based thermoelectric materials, Shuto Yamasaka,Kentaro Watanabe,Shunya Sakane,Shotaro Takeuchi,Akira Sakai,Kentarou Sawano,Yoshiaki Nakamura, SCIENTIFIC REPORTS, NATURE PUBLISHING GROUP, Vol. 6, 2016/03
  • Fabrication of Carrier-Doped Si Nanoarchitecture for Thermoelectric Material by Ultrathin SiO2 Film Technique, Tomohiro Ueda,Shunya Sakane,Takafumi Ishibe,Kentaro Watanabe,Shotaro Takeuchi,Akira Sakai,Yoshiaki Nakamura, JOURNAL OF ELECTRONIC MATERIALS, SPRINGER, Vol. 45, No. 3, p. 1914-1920, 2016/03
  • In-situ doped epitaxial growth of highly dopant-activated n+-Ge layers for reduction of parasitic resistance of Ge-nMISFETs, Y. Moriyama,Y. Kamimuta,K. Ikeda,A. Sakai,T. Tezuka, ECS Transactions, Electrochemical Society Inc., Vol. 75, No. 8, p. 373-385, 2016
  • Epitaxial growth of iron oxide nanodots on Si substrate using Fe-coated Ge nuclei, T. Ishibe,K. Watanabe,S. Takeuchi,A. Sakai,Y. Nakamura, 2015/12
  • Observation of covering epitaxial β-FeSi2 nanodots with Si for fabricating Si/β-FeSi2 nanodots stacked structures, S. Sakane,K. Watanabe,M. Isogawa,S. Takeuchi,A. Sakai,Y. Nakamura, 2015/12
  • Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN, S. Takeuchi,H. Asazu,M. Imanishi,Y. Nakamura,M. Imade,Y. Mori,A. Sakai, JOURNAL OF APPLIED PHYSICS, AMER INST PHYSICS, Vol. 118, No. 24, p. 245306-1-245306-7, 2015/12
  • Formation and optical properties of Ge films grown on Si(111) substrates using nanocontact epitaxy, Kazuki Tanaka,Yoshiaki Nakamura,Shuto Yamasaka,Jun Kikkawa,Takenobu Sakai,Akira Sakai, Appl. Surf. Sci., Vol. 325, p. 170-174, 2015/11
  • Positional dependence of defect distribution in semipolar (20-21) HVPE-GaN films grown on (22-43) patterned sapphire substrates, T. Uchiyama,S. Takeuchi,S. Kamada,T. Arauchi,Y. Hashimoto,K. Yamane,N. Okada,Y. Imai,S. Kimura,K. Tadamoto,A. Sakai, 2015/11
  • Phonon transport control by nanoarchitecture including epitaxial Ge nanodots for Si-based thermoelectric materials, Shuto Yamasaka,Yoshiaki Nakamura,Tomohiro Ueda,Shotaro Takeuchi,Akira Sakai, Scientific Reports, Nature Publishing Group, Vol. 5, No. 14490, p. 1-9, 2015/10/05
  • Phonon scattering control by structure of epitaxial Ge nanodots in Si, S. Yamanaka,Y. Nakamura,S. Takeuchi,A. Sakai, 2015/07
  • Thermal conductivity reduction and carrier doping in the Si nanoarchitecture including epitaxial nanodots, Y. Nakamura,T. Ueda,M. Isogawa,S. Yamasaka,S. Takeuchi,A. Sakai, 2015/07
  • X-ray microdiffraction as a promising method to characterize nanometer-scale structures and textures in semiconductor materials, A. Sakai, 2015/06
  • Fabrication of Si Thermoelectric Nanomaterials Containing Ultrasmall Epitaxial Ge Nanodots with an Ultrahigh Density, Shuto Yamasaka,Yoshiaki Nakamura,Tomohiro Ueda,Shotaro Takeuchi,Yuta Yamamoto,Shigeo Arai,Takayoshi Tanji,Nobuo Tanaka,Akira Sakai, JOURNAL OF ELECTRONIC MATERIALS, SPRINGER, Vol. 44, No. 6, p. 2015-2020, 2015/06
  • Multi-scale characterization of defects in nitride semiconductor materials, A. Sakai, 2015/05
  • Crystalline property analysis of semipolar (20-21) GaN on (22-43) patterned sapphire substrate by X-ray microdiffraction and transmission electron microscopy, Takuji Arauchi,Shotaro Takeuchi,Yasuhiro Hashimoto,Yoshiaki Nakamura,Keisuke Yamane,Narihito Okada,Yasuhiko Imai,Shigeru Kimura,Kazuyuki Tadatomo,Akira Sakai, PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS, WILEY-V C H VERLAG GMBH, Vol. 252, No. 5, p. 1149-1154, 2015/05
  • Thickness and growth condition dependence of crystallinity in semipolar (20-21) GaN films grown on (22-43) patterned sapphire substrates, Shotaro Takeuchi,Toshiro Uchiyama,Takuji Arauchi,Yasuhiro Hashimoto,Yoshiaki Nakamura,Keisuke Yamane,Narihito Okada,Kazuyuki Tadatomo,Akira Sakai, PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS, WILEY-V C H VERLAG GMBH, Vol. 252, No. 5, p. 1142-1148, 2015/05
  • Anomalous reduction of thermal conductivity in coherent nanocrystal architecture for silicon thermoelectric material, Nakamura Yoshiaki,Isogawa Masayuki,Ueda Tomohiro,Yamasaka Shuto,Matsui Hideki,Kikkawa Jun,Ikeuchi Satoaki,Oyake Takafumi,Hori Takuma,Shiomi Junichiro,Sakai Akira, NANO ENERGY, Vol. 12, p. 845-851, 2015/03
  • Microscopic crystalline structure of a thick AlN film grown on a trench-patterned AlN/alpha-Al2O3 template, D. T. Khan,S. Takeuchi,Y. Nakamura,K. Nakamura,T. Arauchi,H. Miyake,K. Hiramatsu,Y. Imai,S. Kimura,A. Sakai, JOURNAL OF CRYSTAL GROWTH, ELSEVIER SCIENCE BV, Vol. 411, p. 38-44, 2015/02
  • Myoglobin-based non-precious metal carbon catalysts for an oxygen reduction reaction, Akira Onoda,Yuta Tanaka,Toshikazu Ono,Shotaro Takeuchi,Akira Sakai,Takashi Hayashi, JOURNAL OF PORPHYRINS AND PHTHALOCYANINES, WORLD SCI PUBL CO INC, Vol. 19, No. 1-3, p. 510-516, 2015/01
  • Microstructure Analysis of a Thick AIN Film Grown on a Trench-Patterned AIN/Sapphire Template by X-Ray Microdiffraction, S. Takeuchi,D. T. Khan,Y. Nakamura,Y. Imai,S. Kimura,H. Miyake,K. Hiramatsu,A. Sakai, 2014/11
  • In situ doped epitaxial growth of highly dopant-activated n(+)-Ge layers for reduction of parasitic resistance in Ge-nMISFETs, Yoshihiko Moriyama,Yuuichi Kamimuta,Yoshiki Kamata,Keiji Ikeda,Akira Sakai,Tsutomu Tezuka, APPLIED PHYSICS EXPRESS, IOP PUBLISHING LTD, Vol. 7, No. 10, 2014/10
  • Self-assembly of Ge clusters on highly oriented pyrolytic graphite surfaces, Masayuki Shimonaka,Yoshiaki Nakamura,Jun Kikkawa,Akira Sakai, SURFACE SCIENCE, ELSEVIER SCIENCE BV, Vol. 628, p. 82-85, 2014/10
  • Thickness and growth condition dependence of crystallinity in semipolar (20-21) GaN films on (22-43) patterned sapphire substrate, T. Uchiyama,S. Takeuchi,T .Arauchi,Y. Nakamura,K. Yamane,N. Okada,K. Tadatomo,A. Sakai, 2014/08
  • Crystalline property analysis of semipolar (20-21) GaN on (22-43) patterned sapphire substrate by X-ray microdiffraction, T. Arauchi,S. Takeuchi,Y. Nakamura,Y. Imai,K. Yamane,N. Okada,S. Kimura,K. Tadatomo,A. Sakai, 2014/08
  • Behaviors of dislocations in GaN crystals grown on point seeds in the Na-Flux coalescence growth, M. Imanishi,K. Murakami,K. Nakamura,H. Imabayashi,H. Takazawa,D. Matsuo,Y. Todoroki,M. Maruyama,H. Asazu,S. Takeuchi,Y. Nakamura,A. Sakai,M. Imade,M. Yoshimura,Y. Mori, 2014/08
  • Electrical conduction characteristics of single crystal and directly-bonded Nb-doped SrTiO3, R. Asada,S. Kondo,S. Takeuchi,Y. Sugi,Y. Nakamura,A. Sakai, 2014/08
  • Ultrathin-body Ge-on-insulator wafers fabricated with strongly bonded thin Al2O3/SiO2 hybrid buried oxide layers, Yoshihiko Moriyama,Keiji Ikeda,Shotaro Takeuchi,Yuuichi Kamimuta,Yoshiaki Nakamura,Koji Izunome,Akira Sakai,Tsutomu Tezuka, APPLIED PHYSICS EXPRESS, IOP PUBLISHING LTD, Vol. 7, No. 8, p. 086501-1-086501-4, 2014/08
  • Thermal and electrical properties of Si films including epitaxial Ge nanodot phonon-scatterers, S. Yamasaka,Y. Nakamura,T. Ueda,S. Takeuchi,A. Sakai, 2014/07
  • Dislocation behavior of surface-oxygen-concentration controlled Si wafers, Hirotada Asazu,Shotaro Takeuchi,Hiroya Sannai,Haruo Sudo,Koji Araki,Yoshiaki Nakamura,Koji Izunome,Akira Sakai, THIN SOLID FILMS, ELSEVIER SCIENCE SA, Vol. 557, p. 106-109, 2014/04
  • Anisotropic crystalline morphology of epitaxial thick AlN films grown on triangular-striped AlN/sapphire template, Takuji Arauchi,Shotaro Takeuchi,Kunihiko Nakamura,Dinh Thanh Khan,Yoshiaki Nakamura,Hideto Miyake,Kazumasa Hiramatsu,Akira Sakai, PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE, WILEY-V C H VERLAG GMBH, Vol. 211, No. 4, p. 731-735, 2014/04
  • Improvement effect of electrical properties in post- annealed waferbonded Ge(001)- OI substrate, Shuto Yamasaka,Yoshiaki Nakamura,Osamu Yoshitake,Jun Kikkawa,Koji Izunome,Akira Sakai, PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE, WILEY-V C H VERLAG GMBH, Vol. 211, No. 3, p. 601-605, 2014/03
  • Anomalous reduction of thermal conductivity of stacked epitaxial Si nanodot structures, Y. Nakamura,A.Sakai, 2014/02
  • Introduction of Ultrahigh Density Ge Nanodots into Si Films for Si Based Thermoelectric Materials, S. Yamasaka,Y. Nakamura,T. Ueda,S. Takeuchi,A. Sakai, 2014/02
  • Erratum: cross-sectional X-ray microdiffraction study of a thick AlN film grown on a trench-patterned AlN/α-Al2O3 template (J. Cryst. Growth (2013) 381 (37-42), DOI: 10.1016/j.jcrysgro.2013.07.012), D. T. Khan,S. Takeuchi,J. Kikkawa,Y. Nakamura,H. Miyake,K. Hiramatsu,Y. Imai,S. Kimura,O. Sakata,A. Sakai, Journal of Crystal Growth, Elsevier, Vol. 388, 2014
  • Improvement of Current Drive of Ge-nMISFETs by Epitaxially Grown n(+)-Ge:P Source and Drain, Yoshihiko Moriyama,Yuuichi Kamimuta,Yoshiki Kamata,Keiji Ikeda,Shotaro Takeuchi,Yoshiaki Nakamura,Akira Sakai,Tsutomu Tezuka, 2014 7TH INTERNATIONAL SILICON-GERMANIUM TECHNOLOGY AND DEVICE MEETING (ISTDM), IEEE, p. 35-36, 2014
  • Improvement effect of electrical properties in post-annealed wafer-bonded Ge(001)-OI substrate, Shuto Yamasaka,Yoshiaki Nakamura,Osamu Yoshitake,Jun Kikkawa,Koji Izunome,Akira Sakai, Physica Status Solidi (A) Applications and Materials Science, Vol. 211, No. 3, p. 601-605, 2014
  • Syncnrotron kadiation based X-ray iviicroaiffraction of advanced semiconductor materials, Akira Sakai, ECS Transactions, Electrochemical Society Inc., Vol. 64, No. 11, p. 255-263, 2014
  • Improvement of Current Drive of Ge-nMISFETs by Epitaxially Grown n(+)-Ge:P Source and Drain, Yoshihiko Moriyama,Yuuichi Kamimuta,Yoshiki Kamata,Keiji Ikeda,Shotaro Takeuchi,Yoshiaki Nakamura,Akira Sakai,Tsutomu Tezuka, 2014 7TH INTERNATIONAL SILICON-GERMANIUM TECHNOLOGY AND DEVICE MEETING (ISTDM), IEEE, p. 35-36, 2014
  • Control of epitaxial growth of Fe-based nanocrystals on Si substrates using well-controlled nanometer-sized interface, Yoshiaki Nakamura,Ryota Sugimoto,Takafumi Ishibe,Hideki Matsui,Jun Kikkawa,Akira Sakai, JOURNAL OF APPLIED PHYSICS, AMER INST PHYSICS, Vol. 115, No. 4, 2014/01
  • Local strain distribution in AlN thick films analyzed by X-ray microdiffraction, A. Sakai, 2013/12
  • Microscopic structure analysis of a thick AIN film grown on a trench-patterned AIN/sapphire template by X-ray microdiffraction, D. T. Khan,S. Takeuchi,K. Nakamura,T. Arauchi,Y. Nakamura,H. Miyake,K. Hiramatsu,Y. Imai,S. Kimura,A. Sakai, 2013/09
  • Variation of local residual strain and twist angle in growth direction of AIN films on trench-patterned 6H-SiC substrates, K. Nakamura,S. Takeuchi,D. T. Khan,T. Arauchi,Y. Nakamura,H. Miyake,K. Hiramatsu,Y. Imai,S. Kimura,A. Sakai, 2013/09
  • In-situ P-doped Ge-rich SiGe selective epitaxy for strained Ge-nMISFETs, Y. Moriyama,Y. Kamimuta,Y. Kamata,K. Ikeda,S. Takeuchi,A. Sakai,T. Tezuka, 2013/09
  • Influence of nanometer-sized interface on reaction of iron nanocrystals epitaxially grown on silicon substrates with oxygen gas, Hironobu Hamanaka,Yoshiaki Nakamura,Takafumi Ishibe,Jun Kikkawa,Akira Sakai, JOURNAL OF APPLIED PHYSICS, AMER INST PHYSICS, Vol. 114, No. 11, 2013/09
  • Characterization of Ge Films on Si(001) Substrates Grown by Nanocontact Epitaxy, Wataru Ikeda,Yoshiaki Nakamura,Shogo Okamoto,Shotaro Takeuchi,Jun Kikkawa,Masakazu Ichikawa,Akira Sakai, JAPANESE JOURNAL OF APPLIED PHYSICS, IOP PUBLISHING LTD, Vol. 52, No. 9, 2013/09
  • Anisotropic crystalline morphology of epitaxial thick AIN films grown on triangular-striped AIN/sapphire template, T. Arauchi,S. Takeuchi,K. Nakamura,D. T. Khan,Y. Nakamura,H. Miyake,K. Hiramatsu,A. Sakai, 2013/08
  • Epitaxial growth of stacked β-FeSi2 nanodots on Si substrates and their thermoelectric properties, M. Isogawa,Y. Nakamura,J. Kikkawa,S.Takeuchi,A. Sakai, 2013/07
  • Introduction of Ge nanodots in Si films as phonon scatterers and the thermal conductivity reduction, S. Yamasaka,Y. Nakamura,T. Ueda,S.Takeuchi,Y. Yamamoto,S. Arai,T. Tenji,N. Tanaka,A. Sakai, 2013/07
  • Dislocation behavior of surface-oxygen-concentration controlled Si wafers, H. Asazu,S. Takeuchi,H. Sannai,H. Sudo,K. Araki,Y. Nakamura,K. Izunome,A. Sakai, 2013/06
  • Quantitative evaluation of bonding strength of hybrid-box GeOI, Y. Moriyama,S. Takeuchi,K. Ikeda,Y. Kamimuta,A. Sakai,K. Izunome,T. Tezuka, 8th International Conference on Si Epitaxy and Heterostructures/6th International Symposium on Control of Semiconductor Interfaces (ICSI-8/ISCSI-VI), 2013/06
  • Reduction of contact resistance on selectively grown phosphorus-doped n+-Ge layers, Y. Moriyama,Y. Kamata,K. Ikeda,S. Takeuchi,Y. Nakamura,A. Sakai,T. Tezuka, 8th International Conference on Si Epitaxy and Heterostructures/6th International Symposium on Control of Semiconductor Interfaces (ICSI-8/ISCSI-VI), 2013/06
  • Semiconductor wafer bonding -Structural and electrical characteristics of GeOI substrates, A. Sakai,S. Yamasaka,Y. Moriyama,J. Kikkawa,S. Takeuchi,Y. Nakamura,T. Tezuka,K. Izunome, 2013 Asia-Pacific Workshop on Fundamentals and applications of Advanced Semmiconductor Devices, 2013/06
  • Fabrication of bonded GeOI substrates with thin Al2O3/SiO2 buried oxide layers, Yoshihiko Moriyama,Keiji Ikeda,Yuuichi Kamimuta,Minoru Oda,Toshifumi Irisawa,Yoshiaki Nakamura,Akira Sakai,Tsutomu Tezuka, SOLID-STATE ELECTRONICS, PERGAMON-ELSEVIER SCIENCE LTD, Vol. 83, p. 42-45, 2013/05
  • Formation mechanism of peculiar structures on vicinal Si(1 1 0) surfaces, M. Yamashita,Y. Nakamura,R. Sugimoto,J. Kikkawa,K. Izunome,A. Sakai, Applied Surface Science, Vol. 267, p. 53-57, 2013/02/15
  • Structural analysis of vicinal Si(110) surfaces with various off-angles, M. Yamashita,Y. Nakamura,A. Yamamoto,J. Kikkawa,K. Izunome,A. Sakai, APPLIED SURFACE SCIENCE, ELSEVIER SCIENCE BV, Vol. 267, p. 136-140, 2013/02
  • Cross-sectional X-ray microdiffraction study of a thick AlN film grown on a trench-patterned AlN/α-Al<inf>2</inf>O<inf>3</inf> template, D. T. Khan,S. Takeuchi,J. Kikkawa,Y. Nakamura,H. Miyake,K. Hiramatsu,Y. Imai,S. Kimura,O. Sakata,A. Sakai, Journal of Crystal Growth, Vol. 381, p. 37-42, 2013
  • Distribution of Local Strain in Facet Controlled ELO (FACELO) GaN by X-ray Micro Diffraction, K. Nakamura,S. Harada,D. T. Khan,J. Kikkawa,S. Takeuchi,Y. Nakamura,H. Miyake,K. Hiramatsu,Y. Imai,S. Kimura,A. Sakai, 2012/12
  • Cross-sectional X-ray Microdiffraction Study of Residual Strain Distribution in a Thick AlN Film Grown on a Trench-patterned AlN/α-Al2O3 Template, D. T. Khan,J. Kikkawa,S. Takeuchi,Y. Nakamura,H. Miyake,K. Hiramatsu,Y. Imai,S. Kimura,O. Sakata,A. Sakai, 8th Handai Nanoscience and Nanotechnology International Symposium, 2012/12
  • Structural- and electrical characteristics of GeOI/BOX interfaces of bonded GeOI substrates with thin Al2O3/SiO2 hybrid BOX layers, Y. Moriyama,K. Ikeda,Y. Kamimuta,M. Oda,T. Irisawa,S. Takeuchi,Y. Nakamura,A. Sakai,T. Tezuka, The 6th International Symposiiium on Advanced Science and Technology of Silicon Materials (JSPS Si Symposium), 2012/11
  • Investigation of semiconductor nanostructures using focused X-ray beams, A. Sakai, NanoMalaysia Summit and Expo 2012, 2012/11
  • Formation technique of stacked epitaxial Si nanodot structures and their thermal conductivity, Y. Nakamura,M. Isogawa,T. Ueda,J. Kikkawa,A. Sakai, 2012/11
  • Local strain distribution in a thick AlN film grown on a trench-patterned AlN/α-Al2O3 template measured by X-ray microdiffraction, D. T. Khan,S. Takeuchi,J. Kikkawa,Y. Nakamura,H. Miyake,K. Hiramatsu,Y. Imai,S. Kimura,A. Sakai, 2012/10
  • Vertical dislocations in Ge films selectively grown in submicron Si windows of patterned substrates, S. Harada,J. Kikkawa,Y. Nakamura,G. Wang,M. Caymax,A. Sakai, THIN SOLID FILMS, ELSEVIER SCIENCE SA, Vol. 520, No. 8, p. 3245-3248, 2012/02
  • Electrical characterization of wafer-bonded Ge(111)-on-insulator substrates using four-point-probe pseudo-metal-oxide-semiconductor field-effect transistor method, K. Minami,Y. Nakamura,S. Yamasaka,O. Yoshitake,J. Kikkawa,K. Izunome,A. Sakai, THIN SOLID FILMS, ELSEVIER SCIENCE SA, Vol. 520, No. 8, p. 3232-3235, 2012/02
  • GOI Substrates -Fabrication and Characterization-, A. Sakai,S. Yamasaka,J. Kikkawa,S. Takeuchi,Y. Nakamura,Y. Moriyama,T. Tezuka,K. Izunome, SIGE, GE, AND RELATED COMPOUNDS 5: MATERIALS, PROCESSING, AND DEVICES, ELECTROCHEMICAL SOC INC, Vol. 50, No. 9, p. 709-725, 2012
  • Characterization of Ge films on Si(001) substrates grown by nanocontact epitaxy, Yoshiaki Nakamura,Wataru Ikeda,Jun Kikkawa,Masakazu Ichikawa,Akira Sakai, 2012 International Silicon-Germanium Technology and Device Meeting, ISTDM 2012 - Proceedings, p. 158-159, 2012
  • Fabrication of bonded GeOI substrates with thin Al 2O 3/SiO 2 buried oxide layers, Yoshihiko Moriyama,Keiji Ikeda,Yuuichi Kamimuta,Minoru Oda,Toshifumi Irisawa,Yoshiaki Nakamura,Akira Sakai,Tsutomu Tezuka, 2012 International Silicon-Germanium Technology and Device Meeting, ISTDM 2012 - Proceedings, p. 34-35, 2012
  • Electron-Beam-Induced Current Study of Electronic Property Change at SrTiO3 Bicrystal Interface Induced by Forming Process, T. Kato,Y. Nakamura,P. P. T. Son,J. Kikkawa,A. Sakai, DEFECTS-RECOGNITION, IMAGING AND PHYSICS IN SEMICONDUCTORS XIV, TRANS TECH PUBLICATIONS LTD, Vol. 725, p. 261-264, 2012
  • Fabrication of bonded GeOI substrates with thin Al 2O 3/SiO 2 buried oxide layers, Yoshihiko Moriyama,Keiji Ikeda,Yuuichi Kamimuta,Minoru Oda,Toshifumi Irisawa,Yoshiaki Nakamura,Akira Sakai,Tsutomu Tezuka, 2012 International Silicon-Germanium Technology and Device Meeting, ISTDM 2012 - Proceedings, p. 34-35, 2012
  • First Demonstration of Threshold Voltage Control by Sub-1V Back-gate Biasing for Thin Body and Buried-oxide (TBB) Ge-on-Insulator (GOI) MOSFETs for Low-power Operation, Keiji Ikeda,Yoshihiko Moriyama,Mizuki Ono,Yuuichi Kamimuta,Toshifumi Irisawa,Yoshiki Kamata,Akira Sakai,Tsutomu Tezuka, IEEE INTERNATIONAL SOI CONFERENCE, IEEE, 2012
  • GOI Substrates -Fabrication and Characterization-, A. Sakai,S. Yamasaka,J. Kikkawa,S. Takeuchi,Y. Nakamura,Y. Moriyama,T. Tezuka,K. Izunome, SIGE, GE, AND RELATED COMPOUNDS 5: MATERIALS, PROCESSING, AND DEVICES, ELECTROCHEMICAL SOC INC, Vol. 50, No. 9, p. 709-725, 2012
  • Electron-Beam-Induced Current Study of Electronic Property Change at SrTiO3 Bicrystal Interface Induced by Forming Process, T. Kato,Y. Nakamura,P. P. T. Son,J. Kikkawa,A. Sakai, DEFECTS-RECOGNITION, IMAGING AND PHYSICS IN SEMICONDUCTORS XIV, TRANS TECH PUBLICATIONS LTD, Vol. 725, p. 261-264, 2012
  • X-ray microdiffraction study of three-dimensional distribution of local strain in thick AlN film grown on a trench-patterned AlN/a-Al2O3 template, D. T. Khan,S. Harada,J. Kikkawa,Y. Nakamura,H. Miyake,K. Hiramatsu,Y. Imai,S. Kimura,O. Sakata,A. Sakai, 15th International Conference on Thin Films, 2011/11
  • Formation of ultrahigh density iron oxide nanodots on Si substrates with nanometer-sized interfaces, K. Tanaka,Y. Nakamura,H. Harada,J. Kikkawa,A. Sakai, 7th Handai Nanoscience and Nanotechnology International Symposium, 2011/11
  • Growth of vanadium dioxides nanowires using vanadyl acetylacetonate, T. Ishibe,J. Kikkawa,Y. Nakamura,A. Sakai, 7th Handai Nanoscience and Nanotechnology International Symposium, 2011/11
  • Electric-field control of spin accumulation signals in silicon at room temperature, Y. Ando,Y. Maeda,K. Kasahara,S. Yamada,K. Masaki,Y. Hoshi,K. Sawano,K. Izunome,A. Sakai,M. Miyao,K. Hamaya, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 99, No. 13, p. 132511-1-132511-3, 2011/09
  • Electrical characterization of wafer-bonded Ge(111)-on-insulator substrates using a four-point-probe pseudo-metal-oxide-semiconductor field-effect transistor method, K. Minami,Y. Nakamura,S. Yamasaka,O. Yoshitake,J. Kikkawa,K. Izunome,A. Sakai, 7th International Conference on Si Epitaxy and Heterostructures (ICSI-7), 2011/08
  • Ge1-xSnx stressors for strained-Ge CMOS, S. Takeuchi,Y. Shimura,T. Nishimura,B. Vincent,G. Eneman,T. Clarysse,J. Demeulemeester,A. Vantomme,J. Dekoster,M. Caymax,R. Loo,A. Sakai,O. Nakatsuka,S. Zaima, SOLID-STATE ELECTRONICS, PERGAMON-ELSEVIER SCIENCE LTD, Vol. 60, No. 1, p. 53-57, 2011/06
  • Effect of Low-Energy Ga Ion Implantation on Selective Growth of Gallium Nitride Layer on Silicon Nitride Surfaces Using Metal Organic Chemical Vapor Deposition, Kazuya Isiizumi,Jun Kikkawa,Yoshiaki Nakamura,Akira Sakai,Junichi Yanagisawa, Jpn. J. Appl. Phys., The Japan Society of Applied Physics, Vol. 50, No. 6, p. 06GC02-06GC02-4, 2011/06
  • Control of strain relaxation behavior of Ge1-xSnx buffer layers, Yosuke Shimura,Shotaro Takeuchi,Osamu Nakatsuka,Akira Sakai,Shigeaki Zaima, SOLID-STATE ELECTRONICS, PERGAMON-ELSEVIER SCIENCE LTD, Vol. 60, No. 1, p. 84-88, 2011/06
  • X-ray microdiffraction investigation of crystallinity and strain relaxation in Ge thin lines selectively grown on Si(001) substrates, Kouhei Ebihara,Jun Kikkawa,Yoshiaki Nakamura,Akira Sakai,Gang Wang,Matty Caymax,Yasuhiko Imai,Shigeru Kimura,Osami Sakata, SOLID-STATE ELECTRONICS, PERGAMON-ELSEVIER SCIENCE LTD, Vol. 60, No. 1, p. 26-30, 2011/06
  • Annealing Effects on Ge/SiO2 Interface Structure in Wafer-Bonded Germanium-on-Insulator Substrates, Osamu Yoshitake,Jun Kikkawa,Yoshiaki Nakamura,Eiji Toyoda,Hiromichi Isogai,Koji Izunome,Akira Sakai, JAPANESE JOURNAL OF APPLIED PHYSICS, JAPAN SOC APPLIED PHYSICS, Vol. 50, No. 4, p. 04DA13-1-04DA13-4, 2011/04
  • Electrical Characterization of Wafer-Bonded Germanium-on-Insulator Substrates Using a Four-Point-Probe Pseudo-Metal-Oxide-Semiconductor Field-Effect Transistor, Yuji Iwasaki,Yoshiaki Nakamura,Jun Kikkawa,Motoki Sato,Eiji Toyoda,Hiromichi Isogai,Koji Izunome,Akira Sakai, JAPANESE JOURNAL OF APPLIED PHYSICS, JAPAN SOC APPLIED PHYSICS, Vol. 50, No. 4, p. 04DA14-1-04DA14-4, 2011/04
  • Self-organization of two-dimensional SiGe nanodot arrays using selective etching of pure-edge dislocation network, Yoshiaki Nakamura,Masahiko Takahashi,Tatsuki Fujiwara,Jun Kikkawa,Akira Sakai,Osamu Nakatsuka,Shigeaki Zaima, JOURNAL OF APPLIED PHYSICS, AMER INST PHYSICS, Vol. 109, No. 4, p. 044301-1-044301-4, 2011/02
  • Nanometer-scale Characterization Technique for Si Nanoelectric Materials using Synchrotron Radiation Microdiffraction, Shigeru Kimura,Yasuhiko Imai,Osami Sakata,Akira Sakai, TECHNOLOGY EVOLUTION FOR SILICON NANO-ELECTRONICS, TRANS TECH PUBLICATIONS LTD, Vol. 470, p. 104-+, 2011
  • Microscopic Structure of Directly Bonded Silicon Substrates, Tetsuji Kato,Yuji Ohara,Takaya Ueda,Jun Kikkawa,Yoshiaki Nakamura,Akira Sakai,Osamu Nakatsuka,Masaki Ogawa,Shigeaki Zaima,Eiji Toyoda,Hiromichi Isogai,Takeshi Senda,Kouji Izunome,Hiroo Tajiri,Osamu Sakata,Shigeru Kimura, TECHNOLOGY EVOLUTION FOR SILICON NANO-ELECTRONICS, TRANS TECH PUBLICATIONS LTD, Vol. 470, p. 164-+, 2011
  • Structural Change during the Formation of Directly Bonded Silicon Substrates, Tetsuji Kato,Takaya Ueda,Yuji Ohara,Jun Kikkawa,Yoshiaki Nakamura,Akira Sakai,Osamu Nakatsuka,Shigeaki Zaima,Eiji Toyoda,Kouji Izunome,Yasuhiko Imai,Shigeru Kimura,Osamu Sakata, TECHNOLOGY EVOLUTION FOR SILICON NANO-ELECTRONICS, TRANS TECH PUBLICATIONS LTD, Vol. 470, p. 158-+, 2011
  • Strained Ge and Ge1-xSnx Technology for Future CMOS Devices, Osamu Nakatsuka,Shotaro Takeuchi,Yosuke Shimura,Akira Sakai,Shigeaki Zaima, TECHNOLOGY EVOLUTION FOR SILICON NANO-ELECTRONICS, TRANS TECH PUBLICATIONS LTD, Vol. 470, p. 146-+, 2011
  • Nanometer-scale Characterization Technique for Si Nanoelectric Materials using Synchrotron Radiation Microdiffraction, Shigeru Kimura,Yasuhiko Imai,Osami Sakata,Akira Sakai, TECHNOLOGY EVOLUTION FOR SILICON NANO-ELECTRONICS, TRANS TECH PUBLICATIONS LTD, Vol. 470, p. 104-+, 2011
  • Microscopic Structure of Directly Bonded Silicon Substrates, Tetsuji Kato,Yuji Ohara,Takaya Ueda,Jun Kikkawa,Yoshiaki Nakamura,Akira Sakai,Osamu Nakatsuka,Masaki Ogawa,Shigeaki Zaima,Eiji Toyoda,Hiromichi Isogai,Takeshi Senda,Kouji Izunome,Hiroo Tajiri,Osamu Sakata,Shigeru Kimura, TECHNOLOGY EVOLUTION FOR SILICON NANO-ELECTRONICS, TRANS TECH PUBLICATIONS LTD, Vol. 470, p. 164-+, 2011
  • Structural Change during the Formation of Directly Bonded Silicon Substrates, Tetsuji Kato,Takaya Ueda,Yuji Ohara,Jun Kikkawa,Yoshiaki Nakamura,Akira Sakai,Osamu Nakatsuka,Shigeaki Zaima,Eiji Toyoda,Kouji Izunome,Yasuhiko Imai,Shigeru Kimura,Osamu Sakata, TECHNOLOGY EVOLUTION FOR SILICON NANO-ELECTRONICS, TRANS TECH PUBLICATIONS LTD, Vol. 470, p. 158-+, 2011
  • Structural Analysis of Si-based Nanodot Arrays Self-organized by Selective Etching of SiGe/Si Films, M. Takahashi,Y. Nakamura,J. Kikkawa,O. Nakatsuka,S. Zaima,A. Sakai, 18th International Colloquium on Scanning Probe Microscopy (ICSPM18), 2010/12
  • Electrical characterization of wafer-bonded germanium-on-insulator substrates using a four-point-probe pseudo-MOSFET, Y. Iwasaki,Y. Nakamura,J. Kikkawa,A. Sakai,M. Sato,E. Toyoda,H. Isogai,K. Izunome, 2010/09
  • Annealing effects on Ge/SiO<sup>2</sup> interface structure in wafer-bonded germanium-on-insulator substrates, O. Yoshitake,J. Kikkawa,Y. Nakamura,A. Sakai,E. Toyoda,H. Isogai,K. Izunome, 2010/09
  • Formation of Ge1-xSnx heteroepitaxial layers with high Sn content, Y. Shimura,S. Takeuchi,O. Nakatsuka,A. Sakai,S. Zaima, International Symposium on Technology Evolution for Silicon Nano Electronics (ISTESNE), 2010/06
  • Formation of ultrahigh density iron-based nanodots on Si (111) substrates using ultrathin SiO2 films, H. Hamanaka,Y. Nakamura,K. Tanaka,J. Kikkawa,A. Sakai, Asia-Pacific Conference on Semiconducting Silicides Science and Technology Towards Sustainable Optoelectronics (APAC-SILICIDE 2010), 2010/06
  • Four-point-probe pseudo-MOSFET analysis of wafer-bonded germanium-on-insulator substrates, Y. Iwasaki,Y. Nakamura,J. Kikkawa,A. Sakai,M. Sato,E. Toyoda,H. Isogai,K. Izunome, Intenational Symposium on Technology Evolution for Silicon Nano-Electronics, 2010/06
  • Transmission electron microscopy observation of Ge/SiO2 interfaces in wafer-bonded germanium-on-insulator substrates, O. Yoshitake,J. Kikkawa,Y. Nakamura,A. Sakai,E. Toyoda,H. Isogai,K. Izunome, Intenational Symposium on Technology Evolution for Silicon Nano-Electronics, 2010/06
  • Formation of Ge1-xSnx heteroepitaxial layers with high Sn content, Y. Shimura,S. Takeuchi,O. Nakatsuka,A. Sakai,S. Zaima, Intenational Symposium on Technology Evolution for Silicon Nano-Electronics, 2010/06
  • Bi-axially strained Ge grown on GeSn SRBs, O. Nakatsuka,S. Takeuchi,Y. Shimura,A. Sakai,S. Zaima, International Workshop of GeSn Developments and Future Applications, 2010/05
  • Strain and domain texture of Ge films selectively grown in localized regions on Si(001) substrates, K. Ebihara,J. Kikkawa,Y. Nakamura,A. Sakai,G. Wang,M. Caymax,Y. Imai,S. Kimura,O. Sakata, The fifth International SiGe Technology and Device Meeting, 2010/05
  • Annealing Effects on Ge/SiO2 Interfaces in Wafer-Bonded GOI Substrates, O. Yoshitake,J. Kikkawa,Y. Nakamura,A. Sakai,E. Toyoda,H. Isogai,K. Izunome, International Conference on Core Research and Engineering Science of Advanced Materials (Global COE Program) & Third International Conference on Nanospintronics Design and Realization, 3rd-ICNDR, 2010/05
  • High-resolution X-ray microdiffraction analysis of local strain in semiconductor materials, Shigeru Kimura,Yasuhiko Imai,Osami Sakata,Akira Sakai, ICSICT-2010 - 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology, Proceedings, p. 1506-1509, 2010
  • Two-dimensional nanoarray of SiGe nanodots self-organized by selective etching method of edge dislocation network, Nakamura Yoshiaki,Takahashi Masahiko,Kikkawa Jun,Nakatsuka Osamu,Zaima Shigeaki,Sakai Akira, Abstract of annual meeting of the Surface Science of Japan, The Surface Science Society of Japan, Vol. 30, No. 0, p. 223-223, 2010
  • Characterization of wafer-bonded substrates for advanced channels in Si-based MOSFET, Akira Sakai, ICSICT-2010 - 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology, Proceedings, p. 1517-1520, 2010
  • Assessment of Ge1-xSnx Alloys for Strained Ge CMOS Devices, S. Takeuchi,Y. Shimura,T. Nishimura,B. Vincent,G. Eneman,T. Clarysse,J. Demeulemeester,K. Temst,A. Vantomme,J. Dekoster,M. Caymax,R. Loo,O. Nakatsuka,A. Sakai,S. Zaima, SIGE, GE, AND RELATED COMPOUNDS 4: MATERIALS, PROCESSING, AND DEVICES, ELECTROCHEMICAL SOC INC, Vol. 33, No. 6, p. 529-535, 2010
  • X-Ray Microdiffraction Study on Crystallinity of Micron-Sized Ge Films Selectively Grown on Si(001) Substrates, K. Ebihara,S. Harada,J. Kikkawa,Y. Nakamura,A. Sakai,G. Wang,M. Caymax,Y. Imai,S. Kimura,O. Sakata, SIGE, GE, AND RELATED COMPOUNDS 4: MATERIALS, PROCESSING, AND DEVICES, ELECTROCHEMICAL SOC INC, Vol. 33, No. 6, p. 887-892, 2010
  • Strain relaxation behavior of Ge1-xSnx buffer layers on Si and virtual Ge substrates, Y. Shimura,S. Takeuchi,N. Tsutsui,O. Nakatsuka,A. Sakai,S. Zaima, 5th International WorkShop on New Group IV Semiconductor Nanoelectronics, 2010/01
  • Potential of Ge1-xSnx alloys as high mobility channel materials and stressors, S. Takeuchi,Y. Shimura,T. Tsutsui,O. Nakatsuka,A. Sakai,S. Zaima, 5th International WorkShop on New Group IV Semiconductor Nanoelectronics, 2010/01
  • Microscopic characterization of Si(011)/Si(001) direct silicon bonding substrates, T. Kato,T. Ueda,Y. Ohara,J. Kikkawa,Y. Nakamura,A. Sakai,O. Nakatsuka,S. Zaima,E. Toyoda,K. Izunome,Y. Imai,S. Kimura,O. Sakata, 5th International WorkShop on New Group IV Semiconductor Nanoelectronics, 2010/01
  • Metal-organic chemical vapor deposition of high-dielectric-constant praseodymium oxide films using a cyclopentadienyl precursor, Hiroki Kondo,Shinnya Sakurai,Mitsuo Sakashita,Akira Sakai,Masaki Ogawa,Shigeaki Zaima, Applied Physics Letters, Vol. 96, No. 1, 2010
  • Assessment of Ge1-xSnx Alloys for Strained Ge CMOS Devices, S. Takeuchi,Y. Shimura,T. Nishimura,B. Vincent,G. Eneman,T. Clarysse,J. Demeulemeester,K. Temst,A. Vantomme,J. Dekoster,M. Caymax,R. Loo,O. Nakatsuka,A. Sakai,S. Zaima, SIGE, GE, AND RELATED COMPOUNDS 4: MATERIALS, PROCESSING, AND DEVICES, ELECTROCHEMICAL SOC INC, Vol. 33, No. 6, p. 529-535, 2010
  • X-Ray Microdiffraction Study on Crystallinity of Micron-Sized Ge Films Selectively Grown on Si(001) Substrates, K. Ebihara,S. Harada,J. Kikkawa,Y. Nakamura,A. Sakai,G. Wang,M. Caymax,Y. Imai,S. Kimura,O. Sakata, SIGE, GE, AND RELATED COMPOUNDS 4: MATERIALS, PROCESSING, AND DEVICES, ELECTROCHEMICAL SOC INC, Vol. 33, No. 6, p. 887-892, 2010
  • Metal-organic chemical vapor deposition of high-dielectric-constant praseodymium oxide films using a cyclopentadienyl precursor, Hiroki Kondo,Shinnya Sakurai,Mitsuo Sakashita,Akira Sakai,Masaki Ogawa,Shigeaki Zaima, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 96, No. 1, p. 12105-1-12105-3, 2010/01
  • High-Angular-Resolution Microbeam X-Ray Diffraction with CCD Detector, Yasuhiko Imai,Shigeru Kimura,Osami Sakata,Akira Sakai, X-RAY OPTICS AND MICROANALYSIS, PROCEEDINGS, AMER INST PHYSICS, Vol. 1221, No. 1, p. 30-+, 2010
  • Mobility Behavior of Ge1-xSnx Layers Grown on Silicon-on-Insulator Substrates, Osamu Nakatsuka,Norimasa Tsutsui,Yosuke Shimura,Shotaro Takeuchi,Akira Sakai,Shigeaki Zaima, JAPANESE JOURNAL OF APPLIED PHYSICS, IOP PUBLISHING LTD, Vol. 49, No. 4, p. 04DA10-1-04DA10-4, 2010
  • Use of p- and n-type vapor phase doping and sub-melt laser anneal for extension junctions in sub-32 nm CMOS technology, N. D. Nguyen,E. Rosseel,S. Takeuchi,J-L. Everaert,L. Yang,J. Goossens,A. Moussa,T. Clarysse,O. Richard,H. Bender,S. Zaima,A. Sakai,R. Loo,J. C. Lin,W. Vandervorst,M. Caymax, THIN SOLID FILMS, ELSEVIER SCIENCE SA, Vol. 518, No. 6, p. S48-S52, 2010/01
  • Structural change of direct silicon bonding substrates by interfacial oxide out-diffusion annealing, T. Kato,Y. Nakamura,J. Kikkawa,A. Sakai,E. Toyoda,K. Izunome,O. Nakatsuka,S. Zaima,Y. Imai,S. Kimura,O. Sakata, THIN SOLID FILMS, ELSEVIER SCIENCE SA, Vol. 518, No. 6, p. S147-S150, 2010/01
  • Novel method to introduce uniaxial tensile strain in Ge by microfabrication of Ge/Si1-xGex structures on Si(001) substrates, Takuya Mizutani,Osamu Nakatsuka,Akira Sakai,Hiroki Kondo,Masaki Ogawa,Shigeaki Zaima, SOLID-STATE ELECTRONICS, PERGAMON-ELSEVIER SCIENCE LTD, Vol. 53, No. 11, p. 1198-1201, 2009/11
  • Surface structural analysis of off-angled Si(110) substrates, M. Yamashita,Y. Nakamura,J. Kikkawa,A. Sakai,E. Toyoda,M. Sato,H. Isogai,K. Izunome, 5th Handai Nanoscience and Nanotechnology International Symposium, 2009/09
  • Microstructures in directly bonded Si substrates, Y. Ohara,T. Ueda,A. Sakai,O. Nakatsuka,M. Ogawa,S. Zaima,E. Toyoda,H. Isogai,T. Senda,K. Izunome,H. Tajiri,O. Sakata,S. Kimura,T. Sakata,H. Mori, SOLID-STATE ELECTRONICS, PERGAMON-ELSEVIER SCIENCE LTD, Vol. 53, No. 8, p. 837-840, 2009/08
  • Effect of annealing on mechanical properties of materials formed by focused au or si lon-beam-induced chemical vapor deposition using phenanthrene, Takuma Yo,Hideaki Tanaka,Takahiro Nagata,Naoki Fukata,Toyohiro Chikyow,Akira Sakai,Junichi Yanagisawa, Japanese Journal of Applied Physics, Vol. 48, No. 6, p. 06-FB034, 2009/06
  • Formation and characterization of tensile-strained Ge layers on Ge1-xSnx buffer layers, S. Zaima,O. Nakatsuka,Y. Shimura,N. Tsutsui,A. Sakai, The 6th International Conference on Silicon Epitaxy and Heterostructures, 2009/05
  • Low Temperature Growth of Ge1-xSnx Buffer Layers for Tensile-strained Ge Layers, Y. Shimura,N. Tsutsui,O. Nakatsuka,A. Sakai,S. Zaima, The 6th International Conference on Silicon Epitaxy and Heterostructures, 2009/05
  • Analysis of Local Strain in Ge1-xSnx /Ge/Si(001) Heterostructures by X-ray Microdiffraction, O. Nakatsuka,Y. Shimura,N. Tsutsui,A. Sakai,Y. Imai,H. Tajiri,O. Sakata,S. Kimura,S. Zaima, The 6th International Conference on Silicon Epitaxy and Heterostructures, 2009/05
  • Structural change of direct silicon bonding substrates by interfacial oxide out-diffusion annealing, T. Kato,T. Ueda,Y. Ohara,J. Kikkawa,Y. Nakamura,A. Sakai,O. Nakatsuka,S. Zaima,E. Toyoda,K. Izunome,Y. Imai,S. Kimura,O. Sakata, The 6th International Conference on Silicon Epitaxy and Heterostructures, 2009/05
  • Control of Sn Precipitation and Strain Relaxation in Compositionally Step-Graded Ge1-xSnx Buffer Layers for Tensile-Strained Ge Layers, Yosuke Shimura,Norimasa Tsutsui,Osamu Nakatsuka,Akira Sakai,Shigeaki Zaima, JAPANESE JOURNAL OF APPLIED PHYSICS, IOP PUBLISHING LTD, Vol. 48, No. 4, p. 04C130-1-04C130-4, 2009/04
  • Thermal Stability and Scalability of Mictamict Ti-Si-N Metal-Oxide-Semiconductor Gate Electrodes, Hiroki Kondo,Kouhei Furumai,Mitsuo Sakashita,Akira Sakai,Shigeaki Zaima, JAPANESE JOURNAL OF APPLIED PHYSICS, IOP PUBLISHING LTD, Vol. 48, No. 4, p. 04C012-1-04C012-5, 2009/04
  • Characterization and Analyses of Interface Structures in Directly Bonded Si(011)/Si(001) Substrates, Eiji Toyoda,Akira Sakai,Hiromichi Isogai,Takeshi Senda,Koji Izunome,Kazuhiko Omote,Osamu Nakatsuka,Shigeaki Zaima, JAPANESE JOURNAL OF APPLIED PHYSICS, IOP PUBLISHING LTD, Vol. 48, No. 2, p. 021208-1-021208-4, 2009/02
  • Control of Dislocations and Sn Precipitations for Fabrication of Tensile-strained Ge on Ge<sub>1-x</sub>Sn<sub>x</sub> Buffer Layer, Shimura Yosuke,Tsutsui Norimasa,Nakatsuka Osamu,Sakai Akira,Zaima Shigeaki, Transactions of the Materials Research Society of Japan, The Materials Research Society of Japan, Vol. 34, No. 2, p. 301-304, 2009
  • Mechanical Properties and Chemical Reactions at the Directly Bonded Si-Si Interface, Eiji Toyoda,Akira Sakai,Hiromichi Isogai,Takeshi Senda,Koji Izunome,Osamu Nakatsuka,Masaki Ogawa,Shigeaki Zaima, JAPANESE JOURNAL OF APPLIED PHYSICS, IOP PUBLISHING LTD, Vol. 48, No. 1, p. 011202-1-011202-5, 2009/01
  • Formation of Uniaxial Tensile-strained Ge by using Micro-patterning of Ge/Si1-xGex/Si Structures, T. Mizutani,O. Nakatsuka,A. Sakai,H. Kondo,S. Zaima, The IUMRS International Conference in Asia 2008 (IUMRS-ICA 2008), 2008/12
  • Formation and Characterization of Tensile-strained Ge layers on Ge1-xSnx Buffer Layers, Y. Shimura,N. Tsutsui,O. Nakatsuka,A. Sakai,S. Zaima, The IUMRS International Conference in Asia 2008 (IUMRS-ICA 2008), 2008/12
  • Strain and interfacial defects in directly bonded Si substrates, Y. Ohara,T. Ueda,A. Sakai,O. Nakatsuka,S. Zaima,E. Toyoda,K. Izunome,H. Tajiri,O. Sakata,S. Kimura, The IUMRS International Conference in Asia 2008 (IUMRS-ICA 2008), 2008/12
  • Atomistic analysis of directly bonded Si substrate interface, T. Ueda,Y. Ohara,A. Sakai,O. Nakatsuka,S. Zaima,E. Toyoda,K. Izunome,T. Sakata,H. Mori, The IUMRS International Conference in Asia 2008 (IUMRS-ICA 2008), 2008/12
  • Characterization and analyses of interface structures in directly bonded Si(011)/Si(001) substrates, E. Toyoda,A. Sakai,O. Nakatsuka,H. Isogai,T. Senda,K. Izunome,K. Omote,S. Zaima, The 5th International Symposium on Advanced Science and Technology of Silicon Materials, Vol. 48, No. 2, 2008/11
  • Tensile strained Ge layers on strain-relaxed Ge1-xSnx/virtual Ge substrates, Shotaro Takeuchi,Akira Sakai,Osamu Nakatsuka,Masaki Ogawa,Shigeaki Zaima, THIN SOLID FILMS, ELSEVIER SCIENCE SA, Vol. 517, No. 1, p. 159-162, 2008/11
  • Silicide and germanide technology for contacts and gates in MOSFET applications, Shigeaki Zaima,Osamu Nakatsuka,Hiroki Kondo,Mitsuo Sakashita,Akira Sakai,Masaki Ogawa, THIN SOLID FILMS, ELSEVIER SCIENCE SA, Vol. 517, No. 1, p. 80-83, 2008/11
  • Formation of high-density Si nanodots by agglomeration of ultra-thin amorphous Si films, Hiroki Kondo,Tomonori Ueyama,Eiji Ikenaga,Keisuke Kobayashi,Akira Sakai,Masaki Ogawa,Shigeaki Zaima, THIN SOLID FILMS, ELSEVIER SCIENCE SA, Vol. 517, No. 1, p. 297-299, 2008/11
  • Characterization of bonding structures of directly bonded hybrid crystal orientation substrates, E. Toyoda,A. Sakai,O. Nakatsuka,H. Isogai,T. Senda,K. Izunome,M. Ogawa,S. Zaima, THIN SOLID FILMS, ELSEVIER SCIENCE SA, Vol. 517, No. 1, p. 323-326, 2008/11
  • Annealing Effect of Deposited Materials formed byFocused Au Ion Beam-induced Chemical Vapor Deposition using Phenanthrene, T. Yo,H. Tanaka,T. Nagata,N. Fukata,T. Chikyow,A. Sakai,J. Yanagisawa, Digest of Papers 2008 International Microprocess and Nanotechnology Conference, 2008/10
  • Formation of Tensile-Strained Ge Layers on Ge_<1-x>Sn_x Buffer Layers and Control of Strain and Dislocation Structures, NAKATSUKA Osamu,SHIMURA Yosuke,ZAIMA Shigeaki,SAKAI Akira, 4th International WorkShop on New Group IV Semiconductor Nanoelectronics, Vol. 2008, No. 24, p. 25-29, 2008/09/27
  • Control of Sn Precipitation and Strain relaxation in Compositionally Step-graded Ge1-xSnx Buffer Layers for Tensile-strained Ge Layers, Y. Shimura,N. Tsutsui,O. Nakatsuka,A. Sakai,S. Zaima, 2008 International Conference on Solid State Devices and Materials (SSDM), 2008/09
  • Analysis of Uniaxial Tensile Strain in Microfabricated Ge/Si1-x Gex Structures on Si(001) Substrates, T. Mizutani,O. Nakatsuka,A. Sakai,H. Kondo,S. Zaima, 4th International WorkShop on New Group IV Semiconductor Nanoelectronics, 2008/09
  • Formation and Characterization of Compositionally Step-graded Ge1-x Snx Buffer Layers for Tensile-strained Ge Layers, Y. Shimura,N. Tsutsui,O. Nakatsuka,A. Sakai,S. Zaima, 4th International WorkShop on New Group IV Semiconductor Nanoelectronics, 2008/09
  • Effect of alcohol sources on synthesis of single-walled carbon nanotubes, Satoshi Oida,Akira Sakai,Osamu Nakatsuka,Masaki Ogawa,Shigeaki Zaima, APPLIED SURFACE SCIENCE, ELSEVIER SCIENCE BV, Vol. 254, No. 23, p. 7697-7702, 2008/09
  • Scanning tunneling microscopy observation of initial growth of Sn and Ge1-xSnx layers on Ge(001) substrates, Masahiro Yamazaki,Shotaro Takeuchi,Osamu Nakatsuka,Akira Sakai,Masaki Ogawa,Shigeaki Zaima, APPLIED SURFACE SCIENCE, ELSEVIER SCIENCE BV, Vol. 254, No. 19, p. 6048-6051, 2008/07
  • Fabrication of Pr oxide by MOCVD and evaluation of its electrical properties, 近藤博基,櫻井晋也,酒井朗,小川正毅,財満鎭明, 電子情報通信学会技術研究報告, Vol. 108, No. 80(SDM2008 42-57), 2008/06
  • Characterization of deposited materials formed by focused ion beam-induced chemical vapor deposition using AuSi alloyed metal source, Takuma Yo,Hideaki Tanaka,Kakunen Koreyama,Takahiro Nagata,Yoshiki Sakuma,Kiyomi Nakajima,Toyohiro Chikyow,Junichi Yanagisawa,Akira Sakai, JAPANESE JOURNAL OF APPLIED PHYSICS, JAPAN SOCIETY APPLIED PHYSICS, Vol. 47, No. 6, p. 5018-5021, 2008/06
  • Growth of highly strain-relaxed Ge1-xSnx/virtual Ge by a Sn precipitation controlled compositionally step-graded method, Shotaro Takeuchi,Yosuke Shimura,Osamu Nakatsuka,Shigeaki Zaima,Masaki Ogawa,Akira Sakai, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 92, No. 23, p. 231916-1-231916-3, 2008/06
  • Microstructures in Directly Bonded Si Substrates, A. Sakai,Y. Ohara,T. Ueda,O. Nakatsuka,M. Ogawa,S. Zaima,E. Toyoda, Abstract Book of The fourth International SiGe Technology and Device Meeting, 2008/05
  • Effect of Hydrogen on Initial Growth of Sn and Ge1-xSnx on Ge(001) substrates, M. Yamazaki,O. Nakatsuka,T. Shinoda,A. Sakai,M. Ogawa,S. Zaima, Abstract Book of The fourth International SiGe Technology and Device Meeting, 2008/05
  • Characterization and Analyses of Interface Structures in Directly Bonded Si(011)/Si(001) Substrates, E. Toyoda,A. Sakai,O. Nakatuka,S. Zaima,M. Ogawa,H. Isogai,T. Senda,K. Izunome,K. Omote, Abstract Book of The fourth International SiGe Technology and Device Meeting, Vol. 48, No. 2, 2008/05
  • Epitaxial Ag Layers on Si Substrates as a Buffer Layer for Carbon Nanotube Growth, Satoshi Oida,Akira Sakai,Osamu Nakatsuka,Masaki Ogawa,Shigeaki Zaima, JAPANESE JOURNAL OF APPLIED PHYSICS, IOP PUBLISHING LTD, Vol. 47, No. 5, p. 3742-3747, 2008/05
  • Novel Method to Introduce Uniaxial Tensile Strain in Ge by Microfabrication of Ge/Si1-xGex Structures on Si(001) Substrates, T. Mizutani,O. Nakatsuka,A. Sakai,H. Kondo,M. Ogawa,S. Zaima, Abstract Book of The fourth International SiGe Technology and Device Meeting, p. 149-150, 2008/05
  • Dependence of Electrical Characteristics on Interfacial Structure of Epitaxial NiSi2/Si Schottky Contacts Formed from Ni/Ti/Si System, Nakatsuka Osamu,Suzuki Atsushi,Akimoto Shingo,Sakai Akira,Ogawa Masaki,Zaima Shigeaki, Jpn J Appl Phys, INSTITUTE OF PURE AND APPLIED PHYSICS, Vol. 47, No. 4, p. 2402-2406, 2008/04/25
  • Crystalline and electrical properties of mictamict TiSiN gate metal-oxcide-semiconductor capacitors, Kouhei Furumai,Hiroki Kondo,Mitsuo Sakashita,Akira Sakai,Masaki Ogawa,Shigeaki Zaima, JAPANESE JOURNAL OF APPLIED PHYSICS, IOP PUBLISHING LTD, Vol. 47, No. 4, p. 2420-2424, 2008/04
  • Development of high-density radical source for radical nitridation process in ULSI technology development of high-density radical source for radical nitridation process in ULSI technology, H. Kondo,S. Oda,S. Takashima,A. Sakai,M. Ogawa,S. Zaima,M. Hori,S. Den,H. Kano, The International Conference on Plasma-NanoTechnology and Science, 2008/03
  • Contact properties of epitaxial NiSi<inf>2</inf>/heavily doped Si structures formed from Ni/Ti/Si systems, S. Akimoto,O. Nakatsuka,A. Suzuki,A. Sakai,M. Ogawa,S. Zaima, Advanced Metallization Conference (AMC), p. 101-105, 2008
  • Interface and defect control for group IV channel engineering, A. Sakai,Y. Ohara,T. Ueda,E. Toyoda,K. Izunome,S. Takeuchi,Y. Shimura,O. Nakatsuka,M. Ogawa,S. Zaima,S. Kimura, SIGE, GE, AND RELATED COMPOUNDS 3: MATERIALS, PROCESSING, AND DEVICES, ELECTROCHEMICAL SOC INC, Vol. 16, No. 10, p. 687-+, 2008
  • 窒素ラジカル暴露によるGe(001)表面処理, 近藤博基,藤田美里,酒井朗,小川正毅,財満鎭明, ゲートスタック研究会 -材料・プロセス・評価の物理-(第13回研究会), 2008/01
  • Ge基板上に作製したPr酸化膜の評価, 坂下満男,鬼頭伸幸,加藤亮祐,近藤博基,中塚理,酒井朗,小川正毅,財満鎭明, ゲートスタック研究会 -材料・プロセス・評価の物理-(第13回研究会), 2008/01
  • ミクタミクトTiSiNゲートMOSキャパシタの結晶構造及び電気的特性の評価, 古米孝平,近藤博基,坂下満男,酒井朗,小川正毅,財満鎭明, ゲートスタック研究会 -材料・プロセス・評価の物理-(第13回研究会), 2008/01
  • Contact properties of epitaxial NiSi2/heavily doped Si structures formed from Ni/Ti/Si systems, S. Akimoto,O. Nakatsuka,A. Suzuki,A. Sakai,M. Ogawa,S. Zaima, ADVANCED METALLIZATION CONFERENCE 2007 (AMC 2007), MATERIALS RESEARCH SOC, Vol. 23, p. 101-105, 2008
  • Interface and defect control for group IV channel engineering, A. Sakai,Y. Ohara,T. Ueda,E. Toyoda,K. Izunome,S. Takeuchi,Y. Shimura,O. Nakatsuka,M. Ogawa,S. Zaima,S. Kimura, SIGE, GE, AND RELATED COMPOUNDS 3: MATERIALS, PROCESSING, AND DEVICES, ELECTROCHEMICAL SOC INC, Vol. 16, No. 10, p. 687-+, 2008
  • Growth and characterization of tensile-strained Ge layers on strain relaxed Ge1-xSnx buffer layers, O. Nakatsuka,S. Takeuchi,A. Sakai,M. Ogawa,S. Zaima, 3rd International WorkShop on New Group IV Simiconductor Nanoelectronics, 2007/11
  • Tensile strained Ge layers grown on compositionally step-graded Ge1-xSnx buffer layers, Y. Shimura,S. Takeuchi,A. Sakai,O. Nakatsuka,M. Ogawa,S. Zaima, 3rd International WorkShop on New Group IV Simiconductor Nanoelectronics, 2007/11
  • Scanning tunneling microscopy observation of initial growth of Sn and Ge1-xSnx layers on Ge(001) substrates, M. Yamazaki,S. Takeuchi,A. Sakai,O. Nakatsuka,M. Ogawa,S. Zaima, Fifth International Symposium on Control of Semiconductor Interfaces (ISCSI-V), 2007/11
  • Defect control for Ge/Si and Ge1-xSnx/Ge/Si heterostructures, A. Sakai,S. Takeuchi,O. Nakatsuka,M. Ogawa,S. Zaima, Fifth International Symposium on Control of Semiconductor Interfaces (ISCSI-V), 2007/11
  • Characterization of Local Strains in Si1-xGex Hetero-mesa Structures on Si(001) Substrates by Using X-ray Microdiffraction, O. Nakatsuka,K. Yukawa,S. Mochizuki,A. Sakai,K. Fukuda,S. Kimura,O. Sakata,K. Izunome,T. Senda,E. Toyoda,M. Ogawa,S. Zaima, Fifth International Symposium on Control of Semiconductor Interfaces, 2007/11
  • Defect Control for Ge/Si and Ge1-xSnx/Ge/Si Heterostructures, A. Sakai,S. Takeuchi,O. Nakatsuka,M. Ogawa,S. Zaima, Fifth International Symposium on Control of Semiconductor Interfaces, 2007/11
  • Growth and Characterization of Tensile-Strained Ge Layers on Strain Relaxed Ge1-xSnx Buffer Layers, O. Nakatsuka,S. Takeuchi,A. Sakai,M. Ogawa,S. Zaima, The 3nd international workshop on new group IV semiconductor nanoelectronics, 2007/11
  • Electrical and Crystalline Properties of Epitaxial NiSi2/Si Contacts Fromed in Ni/Ti/Si(001) Systems, O. Nakatsuka,A. Suzuki,S. Akimoto,A. Sakai,M. Ogawa,S. Zaima, The Sixth Pacific Rim Inernational Conference on Advanced Materials and Processing, 2007/11
  • Structural and Electrical Properties of Metal-germanide MOS Gate Electrodes, H. Kondo,D. Ikeno,Y. Kaneko,M. Sakashita,A. Sakai,M. Ogawa,S. Zaima, The Sixth Pacific Rim Inernational Conference on Advanced Materials and Processing, 2007/11
  • シリコン表面の窒化初期過程とエネルギーバンドキャップの形成, 近藤博基,財満鎭明,堀勝,酒井朗,小川正毅, 真空, 2007/11
  • Dependence of Electrical Characteristics on Interfacial Structures of Epitaxial NiSi_2/Si Schottky Contacts Formed from Ni/Ti/Si System, NAKATSUKA Osamu,SUZUKI Atsushi,AKIMOTO Shingo,SAKAI Akira,OGAWA Masaki,ZAIMA Shigeaki, Vol. 2007, p. 1038-1039, 2007/09/19
  • Pr-Oxide-Based Dielectric Films on Ge Substrates, SAKASHITA Mitsuo,KITO Nobuyuki,SAKAI Akira,KONDO Hiroki,NAKATSUKA Osamu,OGAWA Masaki,ZAIMA Shigeaki, Ext. Abstr. Int. Conf. Solid State Devices and Materials, Tsukuba, Japan, Sep. 2007, Vol. 2007, No. 85, p. 330-331, 2007/09/19
  • Surface treatment of Ge(001) surface by radical nitridation, H. Kondo,M. Fujita,A. Sakai,M. Ogawa,S. Zaima, Extended Abstracts of the 2007 International Conference on Solid State Device and Materials, 2007/09
  • Crystalline and electrical properties of mictamict TiSiN gate MOS capacitors, K. Furumai,H. Kondo,M. Sakashita,A. Sakai,M. Ogawa,S. Zaima, Extended Abstracts of the 2007 International Conference on Solid State Device and Materials, 2007/09
  • Development of new high-density radical sources and its application to radical nitridation of Ge surfaces, H. Kondo,S. Oda,S. Takashima,A. Sakai,M. Ogawa,S. Zaima,M. Hori,S. Den,H. Kano, The 20th Symposium on Plasma Science for Materials, 2007/06
  • Tensile strained Ge layers on strain-relaxed Ge1-xSnx/virtual Ge substrates, S. Takeuchi,A. Sakai,O. Nakatsuka,M. Ogawa,S. Zaima, 5th International Conference on Silicon Epitaxy and Heterostructures, 2007/05
  • Formation of high-density Si nanodots by agglomeration of ultra-thin amorphous Si films, H. Kondo,T. Ueyama,E. Ikenaga,K. Kobayashi,A. Sakai,M. Ogawa,S. Zaima, 5th International Conference on Silicon Epitaxy and Heterostructures, 2007/05
  • Characterization of bonding structures of directly bonded hybrid crystal orientation substrates, E. Toyoda,A. Sakai,H. Isogai,T. Senda,K. Izunome,O. Nakatsuka,M. Ogawa,S. Zaima, 5th International Conference on Silicon Epitaxy and Heterostructures, 2007/05
  • Strain and dislocations in group IV semiconductor heterostructures, A. Sakai,O. Nakatsuka,M. Ogawa,S. Zaima, 2007/04
  • Behavior of local charge-trapping sites in La2O3-Al2O3 composite films under constant voltage stress, Toshifumi Sago,Akiyoshi Seko,Mitsuo Sakashita,Akira Sakai,Masaki Ogawa,Shigeaki Zaima, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 46, No. 4B, p. 1879-1884, 2007/04
  • Composition dependence of work function in metal (Ni,Pt)-germanide gate electrodes, Daisuke Ikeno,Yukihiro Kaneko,Hiroki Kondo,Mitsuo Sakashita,Akira Sakai,Masaki Ogawa,Shigeaki Zaima, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 46, No. 4B, p. 1865-1869, 2007/04
  • Characterization of deposited materials formed by focused ion beam-induced chemical vapor deposition using an AuSi alloyed metal source, T. Yo,H. Tanaka,K. Koreyama,T. Nagata,Y. Sakuma,K. Nakajima,T. Chikyow,J. Yanagisawa,A. Sakai, MICROPROCESSES AND NANOTECHNOLOGY 2007, DIGEST OF PAPERS, JAPAN SOCIETY APPLIED PHYSICS, p. 150-+, 2007
  • Silicide and germanide technology for contacts and metal gates in MOSFET applications, S. Zaima,O. Nakatsuka,H. Kondo,M. Sakashita,A. Sakai,M. Ogawa, ECS Transactions, Vol. 11, No. 6, p. 197-205, 2007
  • Impact of Pt incorporation on thermal stability of NiGe layers on Ge(001) substrates, Osamu Nakatsuka,Atsushi Suzuki,Akira Sakai,Masaki Ogawa,Shigeaki Zaima, Extended Abstracts of the 7th International Workshop on Junction Technology, IWJT 2007, p. 87-88, 2007
  • Pt-germanideゲート電極の結晶構造及び電気的特性の評価”, 池野大輔,古米孝平,近藤博基,坂下満男,酒井朗,小川正毅,財満鎭明, 特別研究会研究報告“ゲートスタック研究会 –材料・プロセス・評価の物理-”(第12回研究会), 2007/01
  • パルスレーザー蒸着法によるGe基板上へのPr酸化膜の作製とその構造及び電気的特性評価, 鬼頭伸幸,坂下満男,酒井朗,中塚理,近藤博基,小川正毅,財満鎭明, 特別研究会研究報告“ゲートスタック研究会 –材料・プロセス・評価の物理-”(第12回研究会), 2007/01
  • Ge(001)表面の酸素エッチングおよび初期酸化過程の原子スケール評価, 山崎理弘,若園恭伸,酒井朗,中塚理,竹内正太郎,小川正毅,財満鎭明, 特別研究会研究報告“ゲートスタック研究会 –材料・プロセス・評価の物理-”(第12回研究会), 2007/01
  • Growth and energy bandgap formation of silicon nitride films in radical nitridation, Hiroki Kondo,Keigo Kawaai,Akira Sakai,Masaru Hori,Shigeaki Zaima,Yukio Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 46, No. 1, p. 71-75, 2007/01
  • Rare-earth metal oxides and their silicates/aluminates as future gate dielectric films, Akira Sakai,Mitsuo Sakashita,Masaki Ogawa,Shigeaki Zaima, ECS Transactions, Vol. 6, No. 3, p. 99-118, 2007
  • Strain relaxation of patterned Ge and SiGe layers on Si(001) substrates, Shogo Mochizuki,Akira Sakai,Osamu Nakatsuka,Hiroki Kondo,Katsunori Yukawa,Koji Izunome,Takeshi Senda,Eiji Toyoda,Masaki Ogawa,Shigeaki Zaima, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, IOP PUBLISHING LTD, Vol. 22, No. 1, p. S132-S136, 2007/01
  • Growth and structure evaluation of strain-relaxed Ge1-xSnx buffer layers grown on various types of substrates, Shotaro Takeuchi,Akira Sakai,Koji Yamamoto,Osamu Nakatsuka,Masaki Ogawa,Shigeaki Zaima, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, IOP PUBLISHING LTD, Vol. 22, No. 1, p. S231-S235, 2007/01
  • Epitaxial growth of (111)ZrN thin films on (111)Si substrate by reactive sputtering and their surface morphologies, Hideto Yanagisawa,Satoko Shinkai,Katsutaka Sasaki,Junpei Sakurai,Yoshio Abe,Akira Sakai,Shigeaki Zaima, JOURNAL OF CRYSTAL GROWTH, ELSEVIER SCIENCE BV, Vol. 297, No. 1, p. 80-86, 2006/12
  • Evaluation of trapped charge distributions in stressed gate SiO2 films using conductive atomic force microscopy, A. Seko,Y. Watanabe,M. Sakashita,A. Sakai,M. Ogawa,S. Zaima, 2006/11
  • Electrical properties of epitaxial NiSi2/Si contacts with extremely flat interface formed in Ni/Ti/Si(001) system, Osamu Nakatsuka,Atsushi Suzuki,Akira Sakai,Masaki Ogawa,Shigeaki Zaima, MICROELECTRONIC ENGINEERING, ELSEVIER SCIENCE BV, Vol. 83, No. 11-12, p. 2272-2276, 2006/11
  • Control of strain and dislocation structures in Ge_<1-x>Sn_x buffer layers on virtual Ge substrates, TAKEUCHI Shotaro,SAKAI Akira,NAKATSUKA Osamu,OGAWA Masaki,ZAIMA Shigeaki, The 2nd International WorkShop on New Group IV Semiconductor Nanoelectronics, Vol. 2006, No. 15, p. 19-24, 2006/10/03
  • Development of high-angular-resolution microdiffraction system for reciprocal space map measurements, Shingo Takeda,Shigeru Kimura,Osami Sakata,Akira Sakai, Japanese Journal of Applied Physics, Part 2: Letters, Vol. 45, No. 37-41, p. L1054-L1056, 2006/10
  • Mosaicity and dislocations in strain-relaxed SiGe buffer layers on SOI substrates, O. Nakatsuka,N. Taoka,A. Sakai,S. Mochizuki,M. Ogawa,S. Zaima, 2006/10
  • Dislocation structure and strain relaxation of SiGe and Ge sub-micron stripe lines on Si(001) substrates, O. Nakatsuka,S. Mochizuki,A. Sakai,H. Kondo,K. Yukawa,M. Ogawa,S. Zaima, 2006/10
  • Buffer layer technology with misfit dislocation engineering, A. Sakai,O. Nakatsuka,M. Ogawa,S. Zaima, 2006/10
  • Composition Dependence of Work Function in Metal (Ni, Pt)-Germanide Gate Electrodes, IKENO Daisuke,FURUMAI Kouhei,KONDO Hiroki,SAKASHITA Mitsuo,SAKAI Akira,OGAWA Masaki,ZAIMA Shigeaki, Vol. 2006, p. 442-443, 2006/09/13
  • Behavior of Local Charge Trapping Sites in La_2O_3-Al_2O_3 Composite Films under Constant Voltage Stress, SAGO Toshifumi,SEKO Akiyoshi,SAKASHITA Mitsuo,SAKAI Akira,OGAWA Masaki,ZAIMA Shigeaki, Vol. 2006, p. 418-419, 2006/09/13
  • Dislocations and related strain in group IV semiconductor heterostructures - Practical control for Si-based electronic devices, A. Sakai,S. Zaima, 2006/09
  • Interfacial structure of HfON/SiN/Si gate stacks, O. Nakatsuka,M. Sakashita,H. Kondo,E. Ikenaga,M. Kobata,J.-J. Kim,H. Nohira,T. Hattori,A. Sakai,M. Ogawa,S. Zaima, The 2nd International Workshop on Hard X-ray Photoelectron Spectroscopy, 2006/09
  • Dislocation Morphology and Crystalline Mosaicity in Strain-Relaxed SiGe Buffer Layers on SOI, SAKAI Akira,TAOKA Noriyuki,NAKATSUKA Osamu,OGAWA Masaki,ZAIMA Shigeaki, IEEJ Transactions on Electronics, Information and Systems, The Institute of Electrical Engineers of Japan, Vol. 126, No. 9, p. 1083-1087, 2006/09/01
  • Silicide and related materials for ULSI applications, S. Zaima,A. Sakai,M. Ogawa, 2006/07
  • Control of misfit dislocations in strain-relaxed SiGe buffer layers on SOI substrates, Noriyuki Taoka,Akira Sakai,Shogo Mochizuki,Osamu Nakatsuka,Masaki Ogawa,Shigeaki Zaima, THIN SOLID FILMS, ELSEVIER SCIENCE SA, Vol. 508, No. 1-2, p. 147-151, 2006/06
  • Local strain in SiGe/Si heterostructures analyzed by X-ray microdiffraction, Shogo Mochizuki,Akira Sakai,Noriyuki Taoka,Osamu Nakatsuka,Shingo Takeda,Shigeru Kimura,Masaki Ogawa,Shigeaki Zaima, THIN SOLID FILMS, ELSEVIER SCIENCE SA, Vol. 508, No. 1-2, p. 128-131, 2006/06
  • Nano-scale observation for local current leakage in high-k gate dielectrics using conductive atomic force microscopy, S. Zaima,A. Seko,T. Sago,M. Sakashita,A. Sakai,M. Ogawa, 2006/05
  • 歪緩和Si1-xGex/Si(001)界面の刃状転位ネットワーク, 酒井朗, 材料開発のための顕微鏡法と応用写真集, 2006/04
  • Systematic characterization of Ni full silicide in sub-100 nm gate regions, D. Ito,A. Sakai,O. Nakatsuka,H. Kondo,Y. Akasaka,M. Ogawa,S. Zaima, 2006/04
  • Engineering of strain and dislocations at group IV semiconductor thin-film interfaces for next-generation silicon ULSI, 酒井朗,財満鎮明, 応用物理, Vol. 75, No. 4, p. 426-434, 2006/04
  • Characterization of local current leakage in La2O3-Al2O3 composite films by conductive atomic force microscopy, Akiyoshi Seko,Toshifumi Sago,Mitsuo Sakashita,Akira Sakai,Masaki Ogawa,Shigeaki Zaima, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 45, No. 4B, p. 2954-2960, 2006/04
  • Film structures and electrical properties of Pr silicate formed by pulsed laser deposition, Keiko Ariyoshi,Mitsuo Sakashita,Akira Sakai,Masaki Ogawa,Shigeaki Zaima, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 45, No. 4B, p. 2903-2907, 2006/04
  • Electrical properties of epitaxial NiSi2/Si contacts with extremely flat interface formed in Ni/Ti/Si(001) system, O. Nakatsuka,A. Suzuki,A. Sakai,M. Ogawa,S. Zaima, Materials for Advanced Metallization Conference 2006, 2006/03
  • Dislocation morphology and crystalline mosaicity in strain-relaxed SiGe buffer layers on SOI, A. Sakai,N. Taoka,O. Nakatsuka,M. Ogawa,S. Zaima, IEEJ Transactions on Electronics, Information and Systems, Vol. 126, No. 9, 2006
  • Electrical properties and bonding structures of germanium nitride/Ge(100) structures formed by radical nitridation, H. Kondo,I. Yanagi,M. Sakashita,A. Sakai,M. Ogawa,S. Zaima, ECS Transactions, Vol. 3, No. 7, p. 287-289, 2006
  • La2O3-Al2O3複合膜中の局所電流リークの起源と酸素熱処理の効果, 世古明義,佐合寿文,坂下満男,酒井朗,小川正毅,財満鎭明, 応用物理学会薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会研究報告 ゲートスタック研究会 ‐材料・プロセス・評価の物理‐(第11回研究会), 2006/01
  • La2O3-Al2O3複合膜における定電圧ストレス印加時の局所的な電荷捕獲とその放出過程, 佐合寿文,世古明義,坂下満男,酒井朗,小川正毅,財満鎭明, Technical report of IEICE (信学技報), Vol. 106, No. 108, p. 19-24, 2006/01
  • Scanning tunneling microscopy study on the reaction of oxygen with clean Ge(001) surfaces, Akira Sakai,Yasunobu Wakazono,Osamu Nakatsuka,Shigeaki Zaima,Masaki Ogawa, ECS Transactions, Vol. 3, No. 7, p. 1197-1203, 2006
  • Ni-silicide/Si and SiGe(C) contact technology for ULSI applications, Osamu Nakatsuka,Shigeaki Zaima,Akira Sakai,Masaki Ogawa, 14th IEEE International Conference on Advanced Thermal Processing of Semiconductors, RTP 2006, p. 31-37, 2006
  • Strain relaxation of patterned Ge and SiGe layers on Si(001) substrates, Shogo Mochizuki,Akira Sakai,Osamu Nakatsuka,Hiroki Kondo,Katsunori Yukawa,Koji Izunome,Takeshi Senda,Eiji Toyoda,Masaki Ogawa,Shigeaki Zaima, Third International SiGe Technology and Device Meeting, ISTDM 2006 - Conference Digest, Vol. 2006, 2006
  • Growth and structure evaluation of strain-relaxed Ge<inf>1-x</inf>Sn <inf>x</inf> buffer layers on virtual Ge(001) substrates, Shotaro Takeuchi,Akira Sakai,Koji Yamamoto,Osamu Nakatsuka,Masaki Ogawa,Shigeaki Zaima, Third International SiGe Technology and Device Meeting, ISTDM 2006 - Conference Digest, Vol. 2006, 2006
  • Control and characterization of strain in SiGe/Si heterostructures with engineered misfit dislocations, A. Sakai,N. Taoka,S. Mochizuki,K. Yukawa,O. Nakatsuka,S. Takeda,S. Kimura,M. Ogawa,S. Zaima, Third International SiGe Technology and Device Meeting, ISTDM 2006 - Conference Digest, Vol. 2006, 2006
  • Reliability Evaluation of Thin Gate Dielectric using Conductive Atomic Force Microscopy(Failure Analysis Technique and Tools in the Nanotechnology Era), SEKO Akiyoshi,SAKASHITA Mitsuo,SAKAI Akira,ZAIMA Shigeaki, The Journal of Reliability Engineering Association of Japan, Reliability Engineering Association of Japan, Vol. 28, No. 3, p. 163-174, 2006
  • Initial growth process of TiN films in ultrahigh-vacuum rapid thermal chemical vapor deposition, Y Okuda,S Naito,O Nakatsuka,H Kondo,T Okuhara,A Sakai,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 45, No. 1A, p. 49-53, 2006/01
  • Improvement in NiSi/Si contact properties with gimplantation, O Nakatsuka,K Okubo,A Sakai,M Ogawa,Y Yasuda,S Zaima, MICROELECTRONIC ENGINEERING, ELSEVIER SCIENCE BV, Vol. 82, No. 3-4, p. 479-484, 2005/12
  • Fabrication technology of SiGe hetero-structures and their properties, Y Shiraki,A Sakai, SURFACE SCIENCE REPORTS, ELSEVIER SCIENCE BV, Vol. 59, No. 7-8, p. 153-207, 2005/11
  • Epitaxial NiSi2 layers with extremely flat interfaces in Ni/Ti/Si(001) system, A. Suzuki,K. Okubo,O. Nakatsuka,A. Sakai,M. Ogawa,S. Zaima, 2005/10
  • Analysis of microstructures in SiGe buffer layers on silicon-on-insulator substrates, N Taoka,A Sakai,S Mochizuki,O Nakatsuka,M Ogawa,S Zaima,T Tezuka,N Sugiyama,S Takagi, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 44, No. 10, p. 7356-7363, 2005/10
  • Analysis of local breakdown process in stressed gate SiO2 films by conductive atomic force microscopy, A Seko,Y Watanabe,H Kondo,A Sakai,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 44, No. 10, p. 7582-7587, 2005/10
  • Local Current Leakage Characterization in La_2O_3-Al_2O_3 Composite Films by Conductive Atomic Force Microscopy, SEKO Akiyoshi,SAGO Toshifumi,SAKASHITA Mitsuo,SAKAI Akira,OGAWA Masaki,ZAIMA Shigeaki, Vol. 2005, p. 246-247, 2005/09/13
  • Nanoscale Observations for Degradation Phenomena in SiO_2 and High-k Gate Insulators Using Conductive-Atomic Force Microscopy, ZAIMA Shigeaki,SEKO Akiyoshi,WATANABE Yukihiko,SAGO Toshifumi,SAKASHITA Mitsuo,KONDO Hiroki,SAKAI Akira,OGAWA Masaki, Vol. 2005, p. 236-237, 2005/09/13
  • Film structures and electrical properties of Pr silicate formed by pulsed laser deposition, K. Ariyoshi,M. Sakashita,A. Sakai,M. Ogawa,S. Zaima, Extended Abstracts of the 2005 International Conference on Solid State Device and Materials, Vol. 45, No. 4, p. 2903-2907, 2005/09
  • Fabrication and evaluation of floating gate memories with surface-nitrided Si nanocrystals, S Naito,T Ueyama,H Kondo,M Sakashita,A Sakai,M Ogawa,S Zaima, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 44, No. 7B, p. 5687-5691, 2005/07
  • Surface structures in the initial growth of epitaxial Si1-x-yGexCy layers in SiGe and C alternate deposition, S. Takeuchi,O. Nakatsuka,Y. Wakazono,A. Sakai,M. Ogawa,Y. Yasuda,S. Zaima, First International WorkShop on New Group IV Semiconductor Nanoelectronics, 2005/05
  • Control of solid-phase reaction and electrical properties of Ni silicide/Si contacts by Ge and C incorporation, O. Nakatsuka,K. Okubo,A. Sakai,J. Murota,Y. Yasuda,M. Ogawa,S. Zaima, 2005/05
  • Surface structures in the initial growth of epitaxial Si1-x-yGexCy layers in SiGe and C alternate deposition, S. Takeuchi,O. Nakatsuka,Y. Wakazono,A. Sakai,M. Ogawa,Y. Yasuda,S. Zaima, 2005/05
  • Hard x-ray photoelectron spectroscopy for HfON/SiN/Si system, O. Nakatsuka,R. Takahashi,M. Sakashita,E. Ikenaga,K. Kobayashi,H. Nohira,T. Hattori,A. Sakai,M. Ogawa,S. Zaima, 2005/05
  • Local strain in SiGe/Si heterostructures analyzed by X-ray microdiffraction, S. Mochizuki,A. Sakai,N. Taoka,O. Nakatsuka,S. Takeda,S. Kimura,M. Ogawa,S. Zaima, 2005/05
  • Control of misfit dislocations in strain-relaxed SiGe buffer layers on SOI substrates, N. Taoka,A. Sakai,S. Mochizuki,O. Nakatsuka,M. Ogawa,S. Zaima, 2005/05
  • Pure-edge dislocation network for strain-relaxed SiGe/Si(001) systems, A Sakai,N Taoka,O Nakatsuka,S Zaima,Y Yasuda, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 86, No. 22, p. 221916-1-221916-3, 2005/05
  • Low-temperature formation of epitaxial NiSi2 layers with solid-phase reaction in Ni/Ti/Si(001) systems, O Nakatsuka,K Okubo,Y Tsuchiya,A Sakai,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 44, No. 5A, p. 2945-2947, 2005/05
  • Growth of high-quality carbon nanotubes by grid-inserted plasma-enhanced chemical vapor deposition for field emitters, Y Kojima,S Kishimoto,Y Ohno,A Sakai,T Mizutani, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 44, No. 4B, p. 2600-2603, 2005/04
  • Thermal stability and electrical properties of (La2O3)(1-x)(Al2O3)(x) composite films, R Fujitsuka,M Sakashita,A Sakai,M Ogawa,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 44, No. 4B, p. 2428-2432, 2005/04
  • Improvement on NiSi/Si contact properties with C-implantation, S. Zaima,O. Nakatsuka,K. Okubo,A. Sakai,M. Ogawa,Y. Yasuda, Materials for Advanced Metallization Conference 2005, 2005/03
  • Initial growth behaviors of SiGeC in SiGe and C alternate deposition, S Takeuchi,O Nakatsuka,Y Wakazono,A Sakai,S Zaima,Y Yasuda, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, ELSEVIER SCI LTD, Vol. 8, No. 1-3, p. 5-9, 2005/02
  • Growth and characterization of strain-relaxed SiGe buffer layers on Si(001) substrates with pure-edge misfit dislocations, N Taoka,A Sakai,T Egawa,O Nakatsuka,S Zaima,Y Yasuda, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, ELSEVIER SCI LTD, Vol. 8, No. 1-3, p. 131-135, 2005/02
  • Initial growth behaviors of SiGeC in SiGe and C alternate deposition, S Takeuchi,O Nakatsuka,Y Wakazono,A Sakai,S Zaima,Y Yasuda, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, ELSEVIER SCI LTD, Vol. 8, No. 1-3, p. 5-9, 2005/02
  • Novel Approach to Epitaxial Growth with Buffer Layers Strain and Dislocation Engineering in Si<sub>1-x</sub>Ge<sub>x</sub> Buffer Layers, 田岡紀之,酒井朗,望月省吾,中塚理,小川正毅,財満鎮明, 日本結晶成長学会誌, Vol. 32, No. 2, 2005/01
  • Transmission electron microscopy analysis of dislocation structures in the strain-relaxed SiGe films on Si and silicon-on-insulator substrates, N. Taoka,A. Sakai,S. Mochizuki,O. Nakatsuka,M. Ogawa,S. Zaima,Y. Yasuda, 2005/01
  • Fabrication and Evaluation of Floating Gate Memories with Surface-Nitrided Si Nanocrystals, Naito Shinya,Ueyama Tomonori,Kondo Hiroki,Sakashita Mitsuo,Sakai Akira,Ogawa Masaki,Zaima Shigeaki, Japanese Journal of Applied Physics, The Japan Society of Applied Physics, Vol. 44, No. 7, p. 5687-5691, 2005
  • 最近10年の結晶成長の動き エピタキシャル成長技術の進展を振り返って, 酒井朗, 日本結晶成長学会誌, Vol. 31, No. 5, 2005
  • Impact of C implantation on electrical properties of NiSi/Si contact, O Nakatsuka,K Okubo,A Sakai,M Ogawa,S Zaima, Fifth International Workshop on Junction Technology, JAPAN SOCIETY APPLIED PHYSICS, p. 91-92, 2005
  • Analysis of Local Leakage Current on La<sub>2</sub>O<sub>3</sub>-Al<sub>2</sub>O<sub>3</sub> Composite Films by Conductive Atomic Force Microscopy, 世古明義,佐合寿文,藤塚良太,藤塚良太,坂下満男,酒井朗,小川正毅,財満鎮明, 電子情報通信学会技術研究報告, Vol. 105, No. 109(SDM2005 70-86), 2005/01
  • Epitaxial growth of (001)ZrN thin films on (001)Si by low temperature process, H Yanagisawa,S Shinkai,K Sasaki,Y Abe,A Sakai,S Zaima, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 44, No. 1A, p. 343-349, 2005/01
  • Dislocation and strain engineering for SiGe buffer layers on Si, Akira Sakai,Shogo Mochizuki,Noriyuki Taoka,Osamu Nakatsuka,Shingo Takeda,Shigeru Kimura,Masaki Ogawa,Shigeaki Zaima, Proceedings - Electrochemical Society, Vol. PV 2005-10, p. 16-29, 2005
  • Analysis of stressed-gate SiO2 films with electron injection by conductive atomic force microscopy, A Seko,Y Watanabe,H Kondo,A Sakai,S Zaima,Y Yasuda, ELECTRONICS AND COMMUNICATIONS IN JAPAN PART II-ELECTRONICS, SCRIPTA TECHNICA-JOHN WILEY & SONS, Vol. 88, No. 6, p. 18-26, 2005
  • Synthesis of carbon nanotube peapods directly on Si substrates, Y Ohno,Y Kurokawa,S Kishimoto,T Mizutani,T Shimada,M Ishida,T Okazaki,H Shinohara,Y Murakami,S Maruyama,A Sakai,K Hiraga, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 86, No. 2, p. 023109-1-023109-3, 2005/01
  • Control of Ni/Si interfacial reaction and NiSi technology for ULSI applications, S. Zaima,O. Nakatsuka,A. Sakai,Y. Yasuda, 2004/12
  • Dislocation and strain distribution analysis for SiGe buffer layers formed on silicon on insulator substrates, N. Taoka,A. Sakai,S. Mochizuki,O. Nakatsuka,S. Zaima,Y. Yasuda,M. Ogawa,T. Tezuka,N. Sugiyama,S. Takagi, 2004/11
  • Dislocation Engineering for high-quality SiGe epitaxial films on Si substrates, A. Sakai,S. Zaima,Y. Yasuda, 2004/11
  • HfO2 film formation combined with radical nitridation and its electrical characteristic, R Takahashi,M Sakashita,A Sakai,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 43, No. 11B, p. 7821-7825, 2004/11
  • Praseodymium silicate formed by postdeposition high-temperature annealing, A Sakai,S Sakashita,M Sakashita,Y Yasuda,S Zaima,S Miyazaki, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 85, No. 22, p. 5322-5324, 2004/11
  • Scanning tunneling microscopy observation of C adsorption behavior in the initial growth of SiGeC on Si(100), Y. Wakazono,S. Takeuchi,O. Nakatsuka,A. Sakai,M. Ogawa,Y. Yasuda,S. Zaima, Third International WorkShop on New Group IV (Si-Ge-C) Semiconductors: Control of Properties and Applications to Ultrahigh Speed and Opto-Electronic Devices, 2004/10
  • Evolution of surface morphology in the initial growth of Si1-x-yGexCy layers, S. Takeuchi,O. Nakatsuka,Y. Wakazono,A. Sakai,M. Ogawa,Y. Yasuda,S. Zaima, Third International WorkShop on New Group IV (Si-Ge-C) Semiconductors: Control of Properties and Applications to Ultrahigh Speed and Opto-Electronic Devices, 2004/10
  • Evolution of surface morphology in the initial growth of Si1-x-yGexCy layers, S. Takeuchi,O. Nakatsuka,Y. Wakazono,A. Sakai,M. Ogawa,S. Zaima,Y. Yasuda, Third International WorkShop on New Group IV (Si-Ge-C) Semiconductors Control of Properties and Applications to Ultrahigh Speed and Opto-Electronic Devices, 2004/10
  • Improvement in the Ni silicide/Si contact properties by C implantation, K. Okubo,O. Nakatsuka,A. Sakai,M. Ogawa,S. Zaima,J. Murota,Y. Yasuda, Third International WorkShop on New Group IV (Si-Ge-C) Semiconductors Control of Properties and Applications to Ultrahigh Speed and Opto-Electronic Devices, 2004/10
  • Analysis of microstructures in strain-relaxed SiGe buffer layers on SOI substrates with pure-edge dislocation networks, N. Taoka,A. Sakai,S. Mochizuki,O. Nakatsuka,S. Zaima,M. Ogawa,Y. Yasuda,T. Tezuka,N. Sugiyama,S. Takagi, Third International WorkShop on New Group IV (Si-Ge-C) Semiconductors Control of Properties and Applications to Ultrahigh Speed and Opto-Electronic Devices, 2004/10
  • Anisotropic strain-relaxation mechanism in SiGe/Si(001) heterostructures with 60° misfit dislocations, S. Mochizuki,T. Egawa,A. Sakai,N. Taoka,O. Nakatsuka,M. Ogawa,S. Zaima,Y. Yasuda, Third International WorkShop on New Group IV (Si-Ge-C) Semiconductors Control of Properties and Applications to Ultrahigh Speed and Opto-Electronic Devices, 2004/10
  • Scanning tunneling microscopy observation of C adsorption behavior in the initial growth of SiGeC on Si(001), Y. Wakazono,S. Takeuchi,O. Nakatsuka,A. Sakai,S. Zaima,M. Ogawa,Y. Yasuda, Third International WorkShop on New Group IV (Si-Ge-C) Semiconductors Control of Properties and Applications to Ultrahigh Speed and Opto-Electronic Devices, 2004/10
  • Control of initial growth of epitaxial NiSi2 on Si(001) with C incorporation, O. Nakatsuka,E. Okada,D. Ito,A. Sakai,S. Zaima,M. Ogawa,Y. Yasuda, Third International WorkShop on New Group IV (Si-Ge-C) Semiconductors Control of Properties and Applications to Ultrahigh Speed and Opto-Electronic Devices, 2004/10
  • Influence of C incorporation on the initial growth of epitaxial NiSi2 on Si(100), E Okada,O Nakatsuka,S Oida,A Sakai,S Zaima,Y Yasuda, APPLIED SURFACE SCIENCE, ELSEVIER SCIENCE BV, Vol. 237, No. 1-4, p. 150-155, 2004/10
  • Growth and dislocation control of strain-relaxed SiGe buffer layers on Si(001) substrates, Y. Yasuda,A. Sakai,S. Zaima, 2004/09
  • Nickel silicide technology for low resistivity contacts in ULSI devices, S. Zaima,O. Nakatsuka,A. Sakai,Y. Yasuda, 2004/09
  • Fabrication of peapod FETs using peapods synthesized directly on Si substrate, Y. Kurokawa,Y. Ohno,T. Shimada,Y. Murakami,A. Sakai,M. Ishida,S. Kishimoto,T. Okazaki,S. Maruyama,H. Shinohara,T. Mizutani, Extended Abstracts of the 2004 International Conference on Solid State Device and Materials, 2004/09
  • Thermal stability and electrical properties of (La2O3)1-x(Al2O3) composite films, R. Fujitsuka,M. Sakashita,A. Sakai,S. Zaima,Y. Yasuda, Extended Abstracts of the 2004 International Conference on Solid State Device and Materials, 2004/09
  • Synthesis of peapods directly on substrates, Y. Ohno,Y. Kurokawa,T. Shimada,Y. Murakami,A. Sakai,K. Hiraga,M. Ishida,T. Okazaki,S. Kishimoto,S. Maruyama,H. Shinohara,T. Mizutani, 2004/07
  • Detection and characterization of stress-induced defects in gate SiO2 films by conductive atomic force microscopy, Y Watanabe,A Seko,H Kondo,A Sakai,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 43, No. 7B, p. 4679-4682, 2004/07
  • Behavior of local current leakage in stressed gate SiO2 films analyzed by conductive atomic force microscopy, A Seko,Y Watanabe,H Kondo,A Sakai,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 43, No. 7B, p. 4683-4686, 2004/07
  • Nanoscale analysis of degradation phenomena in MOS gate insulators using conductive atomic force microscopy, S. Zaima,H. Kondo,M. Sakashita,A. Sakai,Y. Yasuda, 2004/06
  • Growth of silicon nanocrystal dots with high number density by ultra-high-vacuum chemical vapor deposition, S Naito,M Satake,H Kondo,M Sakashita,A Sakai,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 43, No. 6B, p. 3779-3783, 2004/06
  • Initial growth behaviors of SiGeC in SiGe and C alternate depostion, S. Takeuchi,O. Nakatsuka,Y. Wakazono,A. Sakai,S. Zaima,Y. Yasuda, Second International SiGe Technology and Device Meeting (ISTDM2004), 2004/05
  • Growth process and energy bandgap formation of silicon nitride films in radical nitridation process, H. Kondo,K. Kawaai,A. Sakai,K. Miyazaki,S. Zaima,Y. Yasuda, 2004/05
  • Thickness dependence of microscopic current-voltage characteristics in stressed SiO2 films, Y. Watanabe,A. Seko,H. Kondo,A. Sakai,S. Zaima,Y. Yasuda, 2004/05
  • Growth process and energy bandgap formation of silicon nitride films in radical nitridation process, H. Kondo,K. Kawaai,A. Sakai,K. Miyazaki,S. Zaima,Y. Yasuda, 2004/05
  • Analysis of Stressed-Gate SiO<sub>2</sub> Films with Electron Injection by Conductive Atomic Force Microscopy, 世古明義,渡辺行彦,近藤博基,酒井朗,財満鎮明,安田幸夫, 電子情報通信学会論文誌 C, Vol. J87-C, No. 8, 2004/05
  • Growth and characterization of strain-relaxed SiGe buffer layers on Si(001) substrates with pure-edge misfit dislocations, N. Taoka,A. Sakai,T. Egawa,O. Nakatsuka,S. Zaima,Y. Yasuda, Vol. 8, No. 1, p. 131-135, 2004/05
  • Initial growth behaviors of SiGeC in SiGe and C alternative deposition, S. Takeuchi,O. Nakatsuka,Y. Wakazono,A. Sakai,S. Zaima,Y. Yasuda, 2004/05
  • The initial growth of Si1-x-yGexCy thin films with large fractions of C atoms on Si(100), S. Zaima,A. Sakai,Y. Yasuda, 2004/05
  • Growth of strain-relaxed SiGe buffer layers on Si(001) substrates with controlled generation and propagation of dislocations, A. Sakai,S. Zaima,Y. Yasuda, 2004/04
  • Influence of structural variation of Ni silicide thin films on electrical property for contact materials, K Okubo,Y Tsuchiya,O Nakatsuka,A Sakai,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 43, No. 4B, p. 1896-1900, 2004/04
  • Conductive atomic force microscopy analysis for local electrical characteristics in stressed SiO2 gate films, Y Watanabe,A Seko,H Kondo,A Sakai,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 43, No. 4B, p. 1843-1847, 2004/04
  • Pulsed laser deposition and analysis for structural and electrical properties of HfO2-TiO2 composite films, K Honda,A Sakai,M Sakashita,H Ikeda,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 43, No. 4A, p. 1571-1576, 2004/04
  • Influence of Si1-xGex interlayer on the initial growth of SiGeC on Si(100), S Ariyoshi,S Takeuchi,O Nakatsuka,A Sakai,S Zaima,Y Yasuda, APPLIED SURFACE SCIENCE, ELSEVIER SCIENCE BV, Vol. 224, No. 1-4, p. 117-121, 2004/03
  • Dislocation structures and strain-relaxation in SiGe buffer layers on Si(001) substrates with an ultra-thin Ge interlayer, T Yamamoto,A Sakai,T Egawa,N Taoka,O Nakatsuka,S Zaima,Y Yasuda, APPLIED SURFACE SCIENCE, ELSEVIER SCIENCE BV, Vol. 224, No. 1-4, p. 108-112, 2004/03
  • Influence of Si1-xGex interlayer on the initial growth of SiGeC on Si(100), S Ariyoshi,S Takeuchi,O Nakatsuka,A Sakai,S Zaima,Y Yasuda, APPLIED SURFACE SCIENCE, ELSEVIER SCIENCE BV, Vol. 224, No. 1-4, p. 117-121, 2004/03
  • Interfacial reaction and electrical properties in Ni/Si and Ni/SiGe(C) contacts, S Zaima,O Nakatsuka,A Sakai,J Murota,Y Yasuda, APPLIED SURFACE SCIENCE, ELSEVIER SCIENCE BV, Vol. 224, No. 1-4, p. 215-221, 2004/03
  • Microscopic analysis of stress-induced leakage current in stressed gate SiO2 films using conductive atomic force microscopy, Y Watanabe,A Seko,H Kondo,A Sakai,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS & EXPRESS LETTERS, JAPAN SOC APPLIED PHYSICS, Vol. 43, No. 2A, p. L144-L147, 2004/02
  • GV and C-P characterization sensitivities for fast and slow-state traps in very thin oxide mosfets, JY Rosaye,Y Yasuda,A Sakai,P Mialhe,JP Charles,Y Watanabe, 2004 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM PROCEEDINGS, IEEE, p. 353-356, 2004
  • Formation technology of Ni silicide on Si and Si<sub>1-x-y</sub>Ge<sub>x</sub>C<sub>y</sub>, 中塚理,酒井朗,財満鎮明,安田幸夫, 電気学会電子材料研究会資料, Vol. EFM-04, No. 41-48, 2004
  • Thermal stability and electrical properties of Ni-silicide on C-incorporated Si, O Nakatsuka,K Okubo,A Sakai,M Ogawa,S Zaima,J Murota,Y Yasuda, ADVANCED METALLIZATION CONFERENCE 2004 (AMC 2004), MATERIALS RESEARCH SOCIETY, p. 293-298, 2004
  • Preparation and evaluation of NiGe gate electrodes for metal-oxide- semiconductor devices, Yukihiro Kaneko,Hiroki Kondo,Akira Sakai,Shigeaki Zaima,Yukio Yasuda, Proceedings - Electrochemical Society, Vol. 7, p. 1107-1111, 2004
  • Group IV semiconductor materials engineering for advanced device technology, Yukio Yasuda,Akira Sakai,Osamu Nakatsuka,Shigeaki Zaima, Proceedings - Electrochemical Society, Vol. 7, p. 555-568, 2004
  • HfO2 Film Formation Combined with Radical Nitridation and Its Electrical Characteristic, Takahashi Ryoya,Sakashita Mitsuo,Sakai Akira,Zaima Shigeaki,Yasuda Yukio, Japanese Journal of Applied Physics, The Japan Society of Applied Physics, Vol. 43, No. 11, p. 7821-7825, 2004
  • Growth mechanism of epitaxial NiSi<inf>2</inf> layer in the Ni/Ti/Si(001) contact for atomically flat interfaces, Osamu Nakatsuka,Kazuya Okubo,Akira Sakai,Shigeaki Zaima,Yukio Yasuda, Extended Abstracts of the Fourth International Workshop on Junction Technology, IWJT 2004, Vol. 4, p. 143-146, 2004
  • Thermal stability and electrical properties of Ni-silicide on C-incorporated Si, O Nakatsuka,K Okubo,A Sakai,M Ogawa,S Zaima,J Murota,Y Yasuda, ADVANCED METALLIZATION CONFERENCE 2004 (AMC 2004), MATERIALS RESEARCH SOCIETY, p. 293-298, 2004
  • Growth of carbon nanotubes by microwave-excited non-equilibrium atmospheric-pressure plasma, A Matsushita,M Nagai,K Yamakawa,M Hiramatsu,A Sakai,M Hori,T Goto,S Zaima, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 43, No. 1, p. 424-425, 2004/01
  • Strain-relaxation mechanisms of SiGe layers formed by two-step growth on Si(001) substrates, T. Egawa,A. Sakai,T. Yamamoto,N. Taoka,O. Nakatsuka,S. Zaima,Y. Yasuda, Applied Surface Science, 2004/01
  • Local discharging of carriers at nanometer scale defects in gate SiO2 thin films observed by conducting atomic force microscopy, A. Seko,Y. Watanabe,H. Kondo,A. Sakai,S. Zaima,Y. Yasuda, 2003/12
  • Detection of stress-induced defects in gate SiO2 films by conducting atomic force microscopy, Y. Watanabe,A. Seko,H. Kondo,A. Sakai,S. Zaima,Y. Yasuda, 2003/12
  • Nanoscale analysis of local leakage currents in stressed gate SiO2 films by conducting atomic force microscopy, H. Kondo,A. Seko,Y. Watanabe,A. Sakai,S. Zaima,Y. Yasuda, 2003/12
  • Praseodymium silicate formation by post-growth high temperature annealing, A. Sakai,S. Sakashita,M. Sakashita,S. Zaima,Y. Yasuda,S. Miyazaki, 2003/12
  • Reactive deposition epitaxy of CoSi2 films on clean and oxygen-adsorbed Si(001) surfaces, Y Hayashi,A Sakai,H Ikeda,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 42, No. 12, p. 7482-7488, 2003/12
  • Influence of C incorporation in the initial growth of epitaxial NiSi2 on Si(100), E. Okada,S. Oida,O. Nakatsuka,A. Sakai,S. Zaima,Y. Yasuda, Program and Abstracts of The 7th International Conference on Atomically Controlled Surfaces, Interfaces and Nanostructures, 2003/11
  • Low temperature formation of epitaxial NiSi2 in Ni/Ti/Si(100) system, O. Nakatsuka,K. Okubo,Y. Tsuchiya,A. Sakai,S. Zaima,Y. Yasuda, Advanced Metallization Conference 2003: Asian Session, 2003/10
  • Chemical structures of HfO2/Si interfacial transition layer, H. Nohira,Y. Tanaka,K. Kobayashi,M. B. Seman,S. Joumori,K. Nakajima,M. Suzuki,K. Kimura,Y. Sugita,O. Nakatsuka,A. Sakai,S. Zaima,T. Ishikawa,S. Shin,T. Hattori, Extended Abstracts of the 2003 International Conference on Solid State Device and Materials, 2003/09
  • Influence of structural variation of Ni silicide thin films on electrical property for contact materials, K. Okubo,Y. Tsuchiya,O. Nakatsuka,A. Sakai,S. Zaima,Y. Yasuda, Extended Abstracts of the 2003 International Conference on Solid State Device and Materials, Vol. 43, No. 4, p. 1896-1900, 2003/09
  • Conductive atomic force microscopy analysis for local electrical characteristics in stressed SiO2 gate films, Y. Watanabe,A. Seko,H. Kondo,A. Sakai,S. Zaima,Y. Yasuda, Extended Abstracts of the 2003 International Conference on Solid State Device and Materials, Vol. 43, No. 4, p. 1843-1847, 2003/09
  • High resolution-high energy x-ray photoelectron spectroscopy using third-generation synchrotron radiation source, and its application to Si-high k insulator systems, K Kobayashi,M Yabashi,Y Takata,T Tokushima,S Shin,K Tamasaku,D Miwa,T Ishikawa,H Nohira,T Hattori,Y Sugita,O Nakatsuka,A Sakai,S Zaima, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 83, No. 5, p. 1005-1007, 2003/08
  • Analysis of Stressed-Gate SiO_2 Films with Electron Injection by Conducting Atomic Force Microscopy : Microscopic observation for Degradation Mechanism of Gate SiO_2 Films, SEKO Akiyoshi,WATANABE Yukihiko,KONDO Hiroki,SAKAI Akira,ZAIMA Shigeaki,YASUDA Yukio, Technical report of IEICE. SDM, The Institute of Electronics, Information and Communication Engineers, Vol. 103, No. 148, p. 1-6, 2003/06/26
  • Effect of Al interlayers on two-step epitaxial growth of CoSi2 on Si(100), O Nakatsuka,H Onoda,E Okada,H Ikeda,A Sakai,S Zaima,Y Yasuda, APPLIED SURFACE SCIENCE, ELSEVIER SCIENCE BV, Vol. 216, No. 1-4, p. 174-180, 2003/06
  • Solid phase growth of nickel silicide for low resistance contacts in Si and SiGe(C) devices, S. Zaima,Y. Tsuchiya,K. Okubo,O. Nakatsuka,A. Sakai,J. Murota,Y. Yasuda, 3rd International SiGe(C) Epitaxy and Heterostructures Conference, 2003/05
  • Scanning tunneling microscopy of initial nitridation processes on oxidized Si(100) surface with radical nitrogen, R Takahashi,Y Kobayashi,H Ikeda,M Sakashita,O Nakatsuka,A Sakai,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 42, No. 4B, p. 1966-1970, 2003/04
  • Novel nonvolatile random-access memory with Si nanocrystals for ultralow-power scheme, A Shibata,H Kotaki,T Ogura,N Arai,K Adachi,A Kito,S Kakimoto,A Sakai,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 42, No. 4B, p. 2387-2390, 2003/04
  • Influence of additional metals on Ni/Si interfacial reactions and resultant nano-structures studied by HRTEM, EELS and EDX, Sugie Tsukasa,Yamasaki Jun,Tanaka Nobuo,Nakatsuka Osamu,Ohkubo Kazuya,Sakai Akira,Zaima Shigeaki,Yasuda Yukio, Meeting Abstracts of the Physical Society of Japan, The Physical Society of Japan, Vol. 58, No. 0, p. 874-874, 2003
  • Growth of silicon nanocrystals with high number density for floating dot memory, S. Naito,M. Satake,H. Kondo,M. Sakashita,A. Sakai,S. Zaima,Y. Yasuda, Digest of Papers - Microprocesses and Nanotechnology 2003 - 2003 International Microprocesses and Nanotechnology Conference, MNC 2003, p. 20-21, 2003
  • HRTEM and EELS analysis of interfacial reactions in Ti/Si <inf>1-x</inf>Ge<inf>x</inf>/Si(100), J. Yamasaki,N. Tanaka,O. Nakatsuka,A. Sakai,S. Zaima,Y. Yasuda, Microscopy and Microanalysis, Vol. 9, No. SUPPL. 2, p. 470-471, 2003
  • Influence of Si1-xGex interlayers on the initial growth of SiGeC on Si(100), S. Ariyoshi,S. Takeuchi,O. Nakatsuka,A. Sakai,S. Zaima,Y. Yasuda, First International SiGe Technology and Device Meeting (ISTDM2003), 2003/01
  • Influence of SiGe interlayer on the initial growth of Si1-x-yGexCy on Si(100), S. Ariyoshi,S. Takeuchi,O. Nakatsuka,A. Sakai,S. Zaima,Y. Yasuda, First International SiGe Technology and Device Meeting, 2003/01
  • Dislocation structures and strain-relaxation in SiGe buffer layers on Si (001) with thin Ge interlayer, T. Yamamoto,T. Egawa,N. Taoka,O. Nakatsuka,A. Sakai,S. Zaima,Y. Yasuda, First International SiGe Technology and Device Meeting, 2003/01
  • Strain-relaxation mechanisms of SiGe layers formed by two-step growth on Si(001), T. Egawa,T. Yamamoto,N. Taoka,O. Nakatsuka,A. Sakai,S. Zaima,Y. Yasuda, First International SiGe Technology and Device Meeting, 2003/01
  • Interfacial reaction and electrical properties in Ni/Si and Ni/SiGe contacts, S. Zaima,O. Nakatsuka,A. Sakai,J. Murota,Y. Yasuda, First International SiGe Technology and Device Meeting, 2003/01
  • Non-stoichiometric phase and superlattice in InSb as observed by in situ heating inside an electron microscope, A. Sakai,T. Kamino,H. Saka,T. Imura, 2003/01
  • Atomistic characterization of radical nitridation process on Si(100) surfaces, Y. Yasuda,A. Sakai,S. Zaima, Proceedings of the International Symposium on Silicon Nitride and Silicon Dioxide Thin Insulating Films VII, Electrochemical Society Proceedings, 2003/01
  • Surface and interface smoothing and growth mechanism of epitaxial CoSi2 films by solid-phase epitaxy using adsorbed oxygen layers and the applied two-step growth on Si(001) surfaces, Y. Hayashi,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, Japanese Journal of Applied Physics, 2003/01
  • Ultra-high vacuum rapid thermal chemical vapor deposition for formation of TiN as barrier metals, S Naito,M Okada,O Nakatsuka,T Okuhara,A Sakai,S Zaima,Y Yasuda, RAPID THERMAL PROCESSING FOR FUTURE SEMICONDUCTOR DEVICES, ELSEVIER SCIENCE BV, p. 29-35, 2003
  • Control in the initial growth stage of heteroepitaxial Si1-x-yGexCy on Si(001) substrates, S. Zaima,A. Sakai,Y. Yasuda, Applied Surface Science, 2003/01
  • Novel Nonvolatile Random-Access Memory with Si Nanocrystals for Ultralow-Power Scheme, Shibata Akihide,Yasuda Yukio,Kotaki Hiroshi,Ogura Takayuki,Arai Nobutoshi,Adachi Kouichiro,Kito Atsunori,Kakimoto Seizo,Sakai Akira,Zaima Shigeaki, Japanese Journal of Applied Physics, The Japan Society of Applied Physics, Vol. 42, No. 4, p. 2387-2390, 2003
  • Scanning Tunneling Microscopy of Initial Nitridation Processes on Oxidized Si(100) Surface with Radical Nitrogen, Takahashi Ryoya,Kobayashi Yasushi,Ikeda Hiroya,Sakashita Mitsuo,Nakatsuka Osamu,Sakai Akira,Zaima Shigeaki,Yasuda Yukio, Japanese Journal of Applied Physics, The Japan Society of Applied Physics, Vol. 42, No. 4, p. 1966-1970, 2003
  • Local Leakage Current of HfO2 Thin Films Characterized by Conducting Atomic Force Microscopy, Ikeda Hiroya,Goto Tomokazu,Sakashita Mitsuo,Sakai Akira,Zaima Shigeaki,Yasuda Yukio, Japanese Journal of Applied Physics, The Japan Society of Applied Physics, Vol. 42, No. 4, p. 1949-1953, 2003
  • Ultra-high vacuum rapid thermal chemical vapor deposition for formation of TiN as barrier metals, S Naito,M Okada,O Nakatsuka,T Okuhara,A Sakai,S Zaima,Y Yasuda, RAPID THERMAL PROCESSING FOR FUTURE SEMICONDUCTOR DEVICES, ELSEVIER SCIENCE BV, p. 29-35, 2003
  • Low resistance contact with NiSi for sub-0.1 um Si ULSI devices, O. Nakatsuka,Y. Tsuchiya,A. Sakai,S. Zaima,Y. Yasuda, 2002/10
  • Epitaxial growth of CoSi2 films on oxygen-adsorbed Si(100) surfaces, Y. Hayashi,A. Sakai,O. Nakatsuka,S. Zaima,Y. Yasuda, Fourth International Symposium on Control of Semiconductor Interfaces, 2002/10
  • Effect of Al interlayer on two-Step growth of CoSi2 on Si(100), E. Okada,H. Onoda,O. Nakatsuka,H. Ikeda,M. Sakashita,A. Sakai,S. Zaima,Y. Yasuda, Fourth International Symposium on Control of Semiconductor Interfaces, Karuizawa, 2002/10
  • Initial growth process of TiN films in ultra-high vacuum rapid thermal chemical vapor deposition, Y. Okuda,S. Naito,O. Nakatsuka,T. Okuhara,A. Sakai,S. Zaima,Y. Yasuda, Advanced Metallization Conference 2002: 12th Asian Session, 2002/10
  • Structural and electrical properties of HfO2-TiO2 composite films formed by pulsed laser deposition, K. Honda,S. Goto,M. Sakashita,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, Extended Abstracts of the 2002 International Conference on Solid State Device and Materials, 2002/09
  • Influence of Ge and C for reaction in Ni/p+-Si1-x-yGexCy/Si(100) contacts, Y. Tsuchiya,O. Nakatsuka,A. Sakai,S. Zaima,J. Murota,Y. Yasuda, Extended Abstracts of the 2002 International Conference on Solid State Device and Materials, 2002/09
  • Control in the initial growth of heteroepitaxial Si1-x-yGexCy on Si(100) substrates, S. Zaima,A. Sakai,Y. Yasuda, 2002/07
  • 190. Surface smoothing of strain-relaxed SiGe layers on Si substrates in two-step strain relaxation procedure, T. Egawa,T. Yamamoto,O. Nakatsuka,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, Second International Workshop on New Group IV (Si-Ge-C) Semiconductors Control of Properties and Applications to Ultrahigh Speed and Opto-Electronic Devices, 2002/06
  • Control of residual strain in SiGe buffer layers on Si substrates with ultra-thin Ge interlayers, T. Yamamoto,T. Egawa,O. Nakatsuka,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, Second International Workshop on New Group IV (Si-Ge-C) Semiconductors Control of Properties and Applications to Ultrahigh Speed and Opto-Electronic Devices, 2002/06
  • Effect of Ge on solid phase epitaxy of CoSi2 on Si(100), O. Nakatsuka,H. Onoda,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, Second International WorkShop on New Group IV (Si-Ge-C) Semiconductors Control of Properties and Applications to Ultrahigh Speed and Opto-Electronic Devices, 2002/06
  • Structural and electrical properties in Ni/Si(100) contacts, Y. Tsuchiya,O. Nakatsuka,A. Sakai,S. Zaima,Y. Yasuda, Second International Workshop on New Group IV (Si-Ge-C) Semiconductors Control of Properties and Applications to Ultrahigh Speed and Opto-Electronic Devices, 2002/06
  • Formation mechanism of low resistance contact in NiSi/Si system Ni/Si, O. Nakatsuka,Y. Tsuchiya,A. Sakai,S. Zaima,Y. Yasuda, Second International Workshop on New Group IV (Si-Ge-C) Semiconductors Control of Properties and Applications to Ultrahigh Speed and Opto-Electronic Devices, 2002/06
  • Novel growth method of thin strain-relaxed SiGe films on Si substrates, A. Sakai,K. Sugimoto,T. Yamamoto,M. Okada,H. Ikeda,O. Nakatsuka,S. Zaima,Y. Yasuda, Second International Workshop on New Group IV (Si-Ge-C) Semiconductors Control of Properties and Applications to Ultrahigh Speed and Opto-Electronic Devices, 2002/06
  • Characterization of defect traps in SiO2 thin films influence of temperature on defects, JY Rosaye,N Kurumado,M Sakashita,H Ikeda,A Sakai,P Mialhe,JP Charles,S Zaima,Y Yasuda,Y Watanabe, MICROELECTRONICS JOURNAL, ELSEVIER SCI LTD, Vol. 33, No. 5-6, p. 429-436, 2002/05
  • Electrical properties and solid-phase reactions in Ni/Si(100) contacts, Y Tsuchiya,A Tobioka,O Nakatsuka,H Ikeda,A Sakai,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 41, No. 4B, p. 2450-2454, 2002/04
  • Growth processes and electrical characteristics of silicon nitride films formed on Si(100) by radical nitrogen, H Ikeda,D Matsushita,S Naito,K Ohmori,A Sakai,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 41, No. 4B, p. 2463-2467, 2002/04
  • Structural and electrical characteristics of HfO2 films fabricated by pulsed laser deposition, H Ikeda,S Goto,K Honda,M Sakashita,A Sakai,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 41, No. 4B, p. 2476-2479, 2002/04
  • Study on solid-phase reactions in Ti/p(+)-Si1-x-yGexCy/Si(100) contacts, A Tobioka,Y Tsuchiya,H Ikeda,A Sakai,S Zaima,J Murota,Y Yasuda, MATERIALS SCIENCE AND ENGINEERING B-SOLID STATE MATERIALS FOR ADVANCED TECHNOLOGY, ELSEVIER SCIENCE SA, Vol. 89, No. 1-3, p. 373-377, 2002/02
  • Improvement in morphology of nickel silicide film with carbon, O. Nakatsuka,Y. Tsuchiya,A. Sakai,S. Zaima,J. Murota,Y. Yasuda, Extended Abstracts of the 3rd International Workshop on Junction Technology, IWJT 2002, p. 71-72, 2002
  • Growth Processes and Electrical Characteristics of Silicon Nitride Films Formed on Si(100) by Radical Nitrogen., Ikeda Hiroya,Matsushita Daisuke,Naito Shinya,Ohmori Kenji,Sakai Akira,Zaima Shigeaki,Yasuda Yukio, Japanese Journal of Applied Physics, The Japan Society of Applied Physics, Vol. 41, No. 4, p. 2463-2467, 2002
  • Growth of SiGe layers with low threading dislocation density on Si(001) substrates using a two-step strain relaxation procedure, A. Sakai,S. Zaima,Y. Yasuda, Proceedings of the Sixth China-Japan Symposium on Thin Films, 2001/11
  • Atomistic observation of SiGeC thin film growth on Si(001) surfaces, Y. Yasuda,S. Zaima,A. Sakai, Proceedings of the Sixth China-Japan Symposium on Thin Films, 2001/11
  • Effect of rapid thermal annealing on structural and electrical properties of HfO2 films formed by pulsed laser deposition, K. Honda,S. Goto,M. Sakashita,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, 2001 International Conference on Rapid Thermal Processing for Future Semiconductor Devices, 2001/11
  • Local electrical characteristics of ultra-thin SiO2 films formed on Si(001) surfaces, H Ikeda,N Kurumado,K Ohmori,M Sakashita,A Sakai,S Zaima,Y Yasuda, SURFACE SCIENCE, ELSEVIER SCIENCE BV, Vol. 493, No. 1-3, p. 653-658, 2001/11
  • Atomistic evolution of Si1-x-yGexCy thin films on Si(001) surfaces, A Sakai,Y Torige,M Okada,H Ikeda,Y Yasuda,S Zaima, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 79, No. 20, p. 3242-3244, 2001/11
  • Reduction of threading dislocation density in SiGe layers on Si (001) using a two-step strain-relaxation procedure, A Sakai,K Sugimoto,T Yamamoto,M Okada,H Ikeda,Y Yasuda,S Zaima, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 79, No. 21, p. 3398-3400, 2001/11
  • Dislocations and microstructure evolution in semiconductor thin films, A. Sakai, International Conference on Solid Surfaces, San Francisco, 2001/10
  • Structural relaxation at SiO2/Si(100) interfaces studied by coaxial impact collision ion scattering spectroscopy, H. Ikeda,M. Wasekura,A. Sakai,S. Zaima,Y. Yasuda, Abstracts of IUVSTA 15th International Vacuum Congress, AVS 48th International Symposium, 11th International Conference on Solid Surfaces, San Francisco, 2001/10
  • Formation of TiN films by ultra-high vacuum rapid thermal chemical vapor deposition, S. Naito,M. Okada,O. Nakatsuka,T. Okuhara,A. Sakai,S. Zaima,Y. Yasuda, 2001/10
  • Study on solid phase Reactions in Ti/p+-Si1-x-yGexCy/Si(100) contacts, A. Tobioka,Y. Tsuchiya,O. Nakatsuka,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, Advanced Metallization Conference 2001: Asian Session, 2001/10
  • Electrical properties and solid-phase reactions in Ni/Si(100) contacts, Y. Tsuchiya,A. Tobioka,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, Extended Abstracts of the 2001 International conference on Solid State Devices and Materials, Vol. 41, No. 4, p. 2450-2454, 2001/09
  • Structural and electronic properties of metal-silicide/silicon interfaces: A first-principles study, BD Yu,Y Miyamoto,O Sugino,A Sakai,T Sasaki,T Ohno, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B, A V S AMER INST PHYSICS, Vol. 19, No. 4, p. 1180-1185, 2001/07
  • Formation of strain-relaxed SiGe films on Si substrates with cap layers, K. Sugimoto,T. Yamamoto,M. Okada,H. Ikeda,A. Sakai,Y. Yasuda,S. Zaima, European Materials Research Society 2001 Spring Meeting E-MRS 2001 (Second International Conference on Silicon Epitaxy and Heterostructures, 2001/06
  • Study on solid-phase reaction in Ti/p+-Si1-x-yGexCy/Si(100) contacts, A. Tobioka,Y. Tsuchiya,H. Ikeda,A. Sakai,Y. Yasuda,S. Zaima,J. Murota, European Materials Research Society 2001 Spring Meeting E-MRS 2001 (Second International Conference on Silicon Epitaxy and Heterostructures, 2001/06
  • STM study of the initial growth process of SiGeC films on Si(100) surfaces, Y .Torige,M. Okada,H. Ikeda,A. Sakai,Y. Yasuda,S. Zaima, European Materials Research Society 2001 Spring Meeting E-MRS 2001 (Second International Conference on Silicon Epitaxy and Heterostructures, 2001/06
  • Microscopic observation of X-ray irradiation damage in ultra-thin SiO2 films, K Ohmori,T Goto,H Ikeda,A Sakai,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 40, No. 4B, p. 2823-2826, 2001/04
  • Atomic-scale characterization of nitridation processes on Si(100)-2 x 1 surfaces by radical nitrogen, D Matsushita,H Ikeda,A Sakai,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 40, No. 4B, p. 2827-2829, 2001/04
  • Local electrical properties of HfO<inf>2</inf> thin films measured by conducting atomic force microscopy, T. Goto,S. Sakashita,H. Ikeda,M. Sakashita,A. Sakai,S. Zaima,Y. Yasuda, Extended Abstracts of International Workshop on Gate Insulator, IWGI 2001, p. 180-183, 2001
  • Electrical properties of Ni silicide/silicon contact, Yoshinori Tsuchiya,Osamu Nakatsuka,Hiroya Ikeda,Akira Sakai,Shigeaki Zaima,Yukio Yasuda, Advanced Metallization Conference (AMC), p. 679-684, 2001
  • Epitaxial lateral overgrowth of GaN, A Usui,A Sakai, ADVANCES IN CRYSTAL GROWTH RESEARCH, ELSEVIER SCIENCE BV, p. 191-209, 2001
  • Electrical properties of Ni silicide/silicon contact, Y Tsuchiya,O Nakatsuka,H Ikeda,A Sakai,S Zaima,Y Yasuda, ADVANCED METALLIZATION CONFERENCE 2001 (AMC 2001), MATERIALS RESEARCH SOC, p. 679-684, 2001
  • 選択横方向エピタキシャル成長により形成したGaN膜中の転位構造, 酒井朗, まてりあ, Vol. 40, No. 12, 2001/01
  • Scanning tunneling microscopy study of Ge epitaxy on C-adsorbed Si(100) surfaces, Y. Torige,M. Okada,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, 2001/01
  • Solid-phase reactions of a Ti/Si1-xGex/Si(100) system, A. Tobioka,A. Yamanaka,O. Nakatsuka,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, First International Workshop on New Group IV (Si-Ge-C) Semiconductors: Control of Properties and Application to Ultrahigh Speed and Opto-Electronic Devices, 2001/01
  • Application of a two-step growth to the formation of epitaxial CoSi2 films on Si(001) surfaces: Comparative study using reactive deposition epitaxy, Y Hayashi,T Katoh,H Ikeda,A Sakai,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 40, No. 1, p. 269-275, 2001/01
  • Structural and Electrical Characteristics of HfO<sub>2</sub> Films Fabricated by Pulsed Laser Deposition., 池田浩也,後藤覚,本多一隆,坂下満男,酒井朗,財満鎮明,安田幸夫, 電子情報通信学会技術研究報告, Vol. 101, No. 108(SDM2001 53-58), p. 25-29, 2001/01
  • Electrical properties of Ni silicide/silicon contact, Y Tsuchiya,O Nakatsuka,H Ikeda,A Sakai,S Zaima,Y Yasuda, ADVANCED METALLIZATION CONFERENCE 2001 (AMC 2001), MATERIALS RESEARCH SOC, p. 679-684, 2001
  • Application of a two-step growth to the formation of epitaxial CoSi2 films on Si(001) surfaces: Comparative study using reactive deposition epitaxy, Y Hayashi,T Katoh,H Ikeda,A Sakai,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 40, No. 1, p. 269-275, 2001/01
  • Real-time observation of initial oxidation on highly B-doped Si(100)-2x1 surfaces using scanning tunneling microscopy, K Ohmori,M Tsukakoshi,H Ikeda,A Sakai,S Zaima,Y Yasuda, PROCEEDINGS OF THE 25TH INTERNATIONAL CONFERENCE ON THE PHYSICS OF SEMICONDUCTORS, PTS I AND II, SPRINGER-VERLAG BERLIN, Vol. 87, p. 329-330, 2001
  • Characterization of defect traps in SiO<inf>2</inf> thin films, Jean Yves Rosaye,Pierre Mialhe,Jean Pierre Charles,Mitsuo Sakashita,Hiroya Ikeda,Akira Sakai,Shigeaki Zaima,Yukio Yasuda, Active and Passive Electronic Components, Vol. 24, No. 3, p. 169-175, 2001
  • Real-time observation of initial oxidation on highly B-doped Si(100)-2x1 surfaces using scanning tunneling microscopy, K Ohmori,M Tsukakoshi,H Ikeda,A Sakai,S Zaima,Y Yasuda, PROCEEDINGS OF THE 25TH INTERNATIONAL CONFERENCE ON THE PHYSICS OF SEMICONDUCTORS, PTS I AND II, SPRINGER-VERLAG BERLIN, Vol. 87, p. 329-330, 2001
  • Control of crystal structure and ferroelectric properties of Pb(ZrxTi1-x)O-3 films formed by pulsed laser deposition, H Fujita,S Goto,M Sakashita,H Ikeda,A Sakai,S Zaima,Y Yasuda, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, JAPAN SOC APPLIED PHYSICS, Vol. 39, No. 12B, p. 7035-7039, 2000/12
  • Effects of Sb and O atoms on epitaxial growth of CoSi2(100) films on Si(100) surfaces, H. Onoda,Y. Hayashi,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, 2000/11
  • Nucleation and growth of Ge on Si(111) in solid phase epitaxy, M. Okada,I. Suzumura,Y. Torige,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, 2000/11
  • Study on nitridation process of Si(100) surfaces using scanning tunneling microscopy, D. Matsushita,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, 2000/11
  • Hydrogen effects on initial oxidation processes of Si surfaces and relaxation in SiO2 local bonding structures, H. Ikeda,K. Sato,A. Sakai,S. Zaima,Y. Yasuda, 2000/11
  • Local electrical characteristics of ultra-thin SiO2 films formed on Si(100) surfaces, H. Ikeda,N. Kurumado,K. Ohmori,M. Sakashita,A. Sakai,S. Zaima,Y. Yasuda, 2000/11
  • Characterization of defect traps in SiO2 films, J. -Y. Rosaye,N. Kurumado,M. Sakashita,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, 2000/11
  • Studies on electrical characteristics and solid-phase reactions at metal/silicon interfaces for low-resistivity contacts, S. Zaima,H. Ikeda,A. Sakai,Y. Yasuda, 2000/11
  • Solid-phase reactions of a Ti/Si1-xGex/Si(100) system, A. Tobioka,A. Yamanaka,O. Nakatsuka,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, 2000/10
  • Effect of Sb atoms on epitaxial growth of CoSi2(100) films on Si(100) surfaces, H. Onoda,Y. Hayashi,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, 2000/10
  • Coulomb blockade phenomena in Si MOSFETs with nano-scale channels fabricated by focused-ion beam implantation., 安田幸夫,泉川健太,酒井朗,財満鎮明, 電子情報通信学会技術研究報告, Vol. 99, No. 615(ED99 290-305), 2000/09
  • Atomic scale characterization of nitridation process on Si(100)-2x1 surfaces by radical nitrogen, D. Matsushita,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, Extended Abstracts of the 2000 International Conference on Solid State Devices and Materials, 2000/09
  • Microscopic observation of X-ray irradiation damages in ultra-thin SiO2 films, K. Ohmori,T. Goto,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, Extended Abstracts of the 2000 International Conference on Solid State Devices and Materials, 2000/09
  • Nucleation and growth of Ge on Si(111) in solid phase epitaxy, Suzumura, I,M Okada,A Muto,Y Torige,H Ikeda,A Sakai,S Zaima,Y Yasuda, THIN SOLID FILMS, ELSEVIER SCIENCE SA, Vol. 369, No. 1-2, p. 116-120, 2000/07
  • Dependence of contact resistivity on impurity concentration in Co/Si systems, Osamu Nakatsuka,Tetsuo Ashizawa,Kenri Nakai,Akihiro Tobioka,Akira Sakai,Shigeaki Zaima,Yukio Yasuda, Applied Surface Science, Elsevier Science Publishers B.V., Vol. 159, p. 149-153, 2000/06
  • Study on initial oxidation of Si(100)-2×1 surfaces by coaxial impact collision ion scattering spectroscopy, M. Wasekura,M. Higashi,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, Applied Surface Science, Elsevier Science Publishers B.V., Vol. 159, p. 35-40, 2000/06
  • Dependence of contact resistivity on impurity concentration in Co/Si systems, O Nakatsuka,T Ashizawa,K Nakai,A Tobioka,A Sakai,S Zaima,Y Yasuda, APPLIED SURFACE SCIENCE, ELSEVIER SCIENCE BV, Vol. 159, p. 149-153, 2000/06
  • Orientation dependence of ferroelectric properties of Pb(Zr<inf>x</inf>Ti<inf>1-x</inf>)O<inf>3</inf> thin films on Pt/SiO<inf>2</inf>/Si substrates, Hirotake Fujita,Mitsunori Imade,Mitsuo Sakashita,Akira Sakai,Shigeaki Zaima,Yukio Yasuda, Applied Surface Science, Elsevier Science Publishers B.V., Vol. 159, p. 134-137, 2000/06
  • Study on initial oxidation of Si(100)-2×1 surfaces by coaxial impact collision ion scattering spectroscopy, M. Wasekura,M. Higashi,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, Applied Surface Science, Elsevier Science Publishers B.V., Vol. 159, p. 35-40, 2000/06
  • Control of crystalline structure and ferroelectric properties of Pb(ZrxTi1-x)O-3 films by pulsed laser deposition, H Fujita,S Goto,S Agata,M Sakashita,A Sakai,S Zaima,Y Yasuda, MICROPROCESSES AND NANOTECHNOLOGY 2000, DIGEST OF PAPERS, BUSINESS CENTER ACADEMIC SOC JAPAN, p. 276-277, 2000
  • The origin and the creation mechanism of positive charges in silicon oxide films, K Ohmori,H Ikeda,A Sakai,S Zaima,Y Yasuda, PHYSICS AND CHEMISTRY OF SIO2 AND THE SI-SIO2 INTERFACE - 4, ELECTROCHEMICAL SOC INC, Vol. 2000, No. 2, p. 345-352, 2000
  • Control of crystalline structure and ferroelectric properties of Pb(ZrxTi1-x)O-3 films by pulsed laser deposition, H Fujita,S Goto,S Agata,M Sakashita,A Sakai,S Zaima,Y Yasuda, MICROPROCESSES AND NANOTECHNOLOGY 2000, DIGEST OF PAPERS, BUSINESS CENTER ACADEMIC SOC JAPAN, p. 276-277, 2000
  • The origin and the creation mechanism of positive charges in silicon oxide films, K Ohmori,H Ikeda,A Sakai,S Zaima,Y Yasuda, PHYSICS AND CHEMISTRY OF SIO2 AND THE SI-SIO2 INTERFACE - 4, ELECTROCHEMICAL SOC INC, Vol. 2000, No. 2, p. 345-352, 2000
  • 集束イオンビームを用いたナノチャネルMOSFETの作製とクーロンブロッケード現象, 安田幸夫,泉川健太,酒井朗,財満鎭明, 電子情報通信学会信学技報, Vol. 99, No. 617, p. 7-11, 2000/01
  • Scanning tunneling microscopy/scanning tunneling spectroscopy of initial nitridation process of Si(100)-2x1 surfaces, D. Matsushita,H. Ikeda,A. Sakai,S. Zaima,Y. Yasuda, Thin Solid Films, 2000/01
  • Dislocation propagation in GaN films formed by epitaxial lateral overgrowth, A Sakai,H Sunakawa,A Kimura,A Usui, JOURNAL OF ELECTRON MICROSCOPY, OXFORD UNIV PRESS, Vol. 49, No. 2, p. 323-330, 2000
  • Self-organized propagation of dislocations in GaN films during epitaxial lateral overgrowth, A Sakai,H Sunakawa,A Kimura,A Usui, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 76, No. 4, p. 442-444, 2000/01
  • Epitaxial lateral overgrowth of GaN and GaN based light emitting devices, A. Sakai, 1999/12
  • Nanometer-scale imaging of strain in Ge island on Si(001) surface, T Ide,A Sakai,K Shimizu, THIN SOLID FILMS, ELSEVIER SCIENCE SA, Vol. 357, No. 1, p. 22-25, 1999/12
  • Ultrasmall and ultralow threshold GaInAsP-InP microdisk injection lasers: Design, fabrication, lasing characteristics, and spontaneous emission factor, M Fujita,A Sakai,T Baba, IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, Vol. 5, No. 3, p. 673-681, 1999/05
  • Dimer reconstruction at metal-silicide/silicon interfaces: A first-principles study, BD Yu,Y Miyamoto,O Sugino,A Sakai,T Sasaki,T Ohno, ADVANCED INTERCONNECTS AND CONTACTS, MATERIALS RESEARCH SOCIETY, Vol. 564, p. 103-108, 1999
  • Reduction of dislocation density in GaN films by epitaxial lateral overgrowth., 酒井朗,碓井彰, 応用物理, Vol. 68, No. 7, p. 774-779, 1999/01
  • Semiconductor nano-technology by electron microscopy. Dislocation structure in laterally overgrown GaN films., 酒井朗, 電子顕微鏡, Vol. 34, No. 3, p. 197-199, 1999/01
  • Nanometer-scale imaging of lattice deformation with transmission electron micrograph, T Ide,A Sakai,K Shimizu, JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS, JAPAN J APPLIED PHYSICS, Vol. 37, No. 12B, p. L1546-L1548, 1998/12
  • Nanometer-scale imaging of strain in Ge island on Si(001) surface, T. Ide,A. Sakai,K. Shimizu, Vol. 357, No. 2, p. 22-25, 1998/11
  • Defect morphology and structure in GaN films formed by epitaxial lateral overgrowth, A. Sakai,H. Sunakawa,A. Kimura,A. Usui, 1998/11
  • Defect structure in selectively grown GaN films with low threading dislocation density, A. Sakai,H. Sunakawa,A. Usui, Applied Physics Letters, 1998/08
  • Transmission electron microscopy of defects in GaN films formed by epitaxial lateral overgrowth, A Sakai,H Sunakawa,A Usui, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 73, No. 4, p. 481-483, 1998/07
  • High-quality InGaN MQW on low-dislocation-density GaN substrate grown by hydride vapor-phase epitaxy, C Sasaoka,H Sunakawa,A Kimura,M Nido,A Usui,A Sakai, JOURNAL OF CRYSTAL GROWTH, ELSEVIER SCIENCE BV, Vol. 189, p. 61-66, 1998/06
  • Growth of strain-relaxed Ge films on Si(001) surfaces, A. Sakai,T. Tatsumi,K. Aoyama, Applied Physics Letters, 1998/06
  • Crystal Growth of III-Group Nitride-Semiconductors. Epitaxial Lateral Overgrowth of GaN by Hydride Vapor Phase Epitaxy and Metalorganic Vapor Phase Epitaxy., 笹岡千秋,砂川晴夫,木村明隆,碓井彰,酒井朗, 日本結晶成長学会誌, Vol. 25, No. 2, 1998/01
  • Ge/Si系の成長と歪緩和のメカニズム, 酒井朗, 日本結晶成長学会誌, 1998/01
  • Valence band splitting of GaN assessed by peculiar strain distribution in HVPE-ELO films, AA Yamaguchi,K Kobayashi,A Sakai,Y Mochizuki,H Sunakawa,A Usui, BLUE LASER AND LIGHT EMITTING DIODES II, OHMSHA LTD, p. 692-695, 1998
  • Recent progress in epitaxial lateral overgrowth technique for growing bulk GaN by HVPE, A Usui,H Sunakawa,N Kuroda,A Kimura,A Sakai,AA Yamaguchi, BLUE LASER AND LIGHT EMITTING DIODES II, OHMSHA LTD, p. 17-21, 1998
  • Wide GaN stripes by lateral growth Zn metalorganic vapor phase epitaxy, A Kimura,C Sasaoka,A Sakai,A Usui, NITRIDE SEMICONDUCTORS, MATERIALS RESEARCH SOCIETY, Vol. 482, p. 119-124, 1998
  • Microstructure of GaN films on GaAs(1 0 0) substrates grown by hydride vapor-phase epitaxy, A Sakai,A Kimura,H Sunakawa,A Usui, JOURNAL OF CRYSTAL GROWTH, ELSEVIER SCIENCE BV, Vol. 183, No. 1-2, p. 49-61, 1998/01
  • Reduction of threading dislocation density in GaN films by selective epitaxy., 酒井朗,砂川晴夫,木村明隆,碓井彰, 応用物理学会学術講演会講演予稿集, Vol. 58th, No. 1, 1997/12
  • Thick GaN epitaxial growth with low dislocation density by hydride vapor phase epitaxy, A Usui,H Sunakawa,A Sakai,AA Yamaguchi, JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS, JAPAN J APPLIED PHYSICS, Vol. 36, No. 7B, p. L899-L902, 1997/07
  • Growth of strain-relaxed pure Ge films on Si(001), A. Sakai,T. Tatsumi,N. Ikarashi,T. Niino, 1997/03
  • High quality InGaN MQW on low dislocation density GaN substrate grown by hydride vapor phase epitaxy, C. Sasaoka,H. Sunakawa,A. Kimura,M. Nido,A. Usui,A. Sakai, Proceedings of the Second International Conference on Nitride Semiconductors, 1997/01
  • Surface morphology study for hexagonal GaN grown on GaAs(100) substrates by hydride vapor phase epitaxy, A Kimura,AA Yamaguchi,A Sakai,H Sunakawa,M Nido,A Usui, JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS & EXPRESS LETTERS, JAPAN SOC APPLIED PHYSICS, Vol. 35, No. 11B, p. L1480-L1482, 1996/11
  • Single domain hexagonal GaN films on GaAs(100) vicinal substrates grown by hydride vapor phase epitaxy, AA Yamaguchi,T Manako,A Sakai,H Sunakawa,A Kimura,M Nido,A Usui, JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS, JAPAN J APPLIED PHYSICS, Vol. 35, No. 7B, p. L873-L875, 1996/07
  • Hexagonal GaN films grown on GaAs(100) substrates by hydride vapor phase epitaxy, AA Yamaguchi,T Manako,A Sakai,H Sunakawa,A Kimura,M Nido,A Usui, BLUE LASER AND LIGHT EMITTING DIODES, I O S PRESS, p. 206-209, 1996
  • AN ADVANCED TECHNIQUE FOR FABRICATING HEMISPHERICAL-GRAINED (HSG) SILICON STORAGE ELECTRODES, H WATANABE,T TATSUMI,T IKARASHI,A SAKAI,N AOTO,T KIKKAWA, IEEE TRANSACTIONS ON ELECTRON DEVICES, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, Vol. 42, No. 2, p. 295-300, 1995/02
  • Role of Ge surface segregation in Si/Ge interfacial ordering: Interface formation on a monohydride surface, Nobuyuki Ikarashi,Atsushi Oshiyama,Akira Sakai,Toru Tatsumi, Physical Review B, Vol. 51, No. 20, p. 14786-14789, 1995
  • Effect of adsorbed hydrogen on low-temperature epitaxial growth in ultra-high. Vacuum chemical-vapor-deposition., 辰巳徹,酒井朗,宮永恵子, 応用物理, Vol. 64, No. 11, p. 1129-1132, 1995/01
  • Ge growth on Si: islanding phenomena and layer-by-layer growth mediated by hydrogen surfactant, A. Sakai,T. Tatsumi, 1994/08
  • Ge growth on Si using atomic hydrogen as a surfactant, Akira Sakai,Toru Tatsumi, Applied Physics Letters, Vol. 64, No. 1, p. 52-54, 1994
  • DEFECT AND ISLAND FORMATION IN STRANSKI-KRASTANOV GROWTH OF GE ON SI(001), A SAKAI,T TATSUMI, MECHANISMS OF THIN FILM EVOLUTION, MATERIALS RESEARCH SOC, Vol. 317, p. 343-348, 1994
  • Ultrathin Tantalum Oxide Capacitor Process Using Oxygen-Plasma Annealing, Hiroshi Suzuki,Satoshi Kamiyama,Hirohito Watanabe,Hidekazu Kimura,Jun'ichiro Mizuki,Akira Sakai, Journal of the Electrochemical Society, Vol. 141, No. 5, p. 1246-1251, 1994
  • DEFECT-MEDIATED ISLAND FORMATION IN STRANSKI-KRASTANOV GROWTH OF GE ON SI(001), A SAKAI,T TATSUMI, PHYSICAL REVIEW LETTERS, AMERICAN PHYSICAL SOC, Vol. 71, No. 24, p. 4007-4010, 1993/12
  • Ultra-Thin Ta<sub>2</sub>O<sub>5</sub> Capacitor Process Technology by using O<sub>2</sub>-Plasma Annealing., 鈴木博,神山聡,酒井朗,渡辺啓仁,石谷明彦, 応用物理学会学術講演会講演予稿集, Vol. 54th, No. 2, 1993/09
  • Growth kinetics of Si hemispherical grains on clean amorphous-Si surfaces, Akira Sakai,Toru Tatsumi,Koichi Ishida, Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films, Vol. 11, No. 6, p. 2950-2953, 1993
  • Prevention of crystallization by surfactants during Si molecular-beam deposition on amorphous-Si films, Akira Sakai,Toru Tatsumi,Koichi Ishida, Physical Review B, Vol. 47, No. 11, p. 6803-6806, 1993
  • Ultra-thin TiN/Ta2O5/W capacitor technology for 1Gbit DRAM, S. Kamiyama,H. Suzuki,H. Watanabe,A. Sakai,M. Oshida,T. Tatsumi,T. Tanigawa,A. Ishitani, IEDM, Tech. Dig., 1993/01
  • Ultrathin Tantalum Oxide Capacitor Dielectric Layers Fabricated Using Rapid Thermal Nitridation prior to Low Pressure Chemical Vapor Deposition, Satoshi Kamiyama,Hiroshi Suzuki,Akihiko Ishitani,Akira Sakai, Journal of the Electrochemical Society, Vol. 140, No. 6, p. 1617-1625, 1993
  • Novel seeding method for the growth of polycrystalline Si films with hemispherical grains, A. Sakai,T. Tatsumi, Applied Physics Letters, 1992/04
  • ヘテロエピタキシャル成長における歪み緩和と貫通転位の低減-Si(001)基板上の高品質Si1-XGeX歪緩和層の成長-, 酒井朗,財満鎭明,安田幸夫, 日本結晶成長学会誌, 1992/01
  • Growth mechanism of polycrystalline Si films with hemispherical grains., 辰巳徹,酒井朗,五十嵐多恵子,渡辺啓仁, 応用物理, Vol. 61, No. 11, p. 1147-1151, 1992/01
  • Hemispherical grain silicon for high-density DRAMs, H. Watanabe,A. Sakai,T. Tatsumi,T. Niino, Solid State Technology, 1992/01
  • CRYSTALLIZATION OF AMORPHOUS-SILICON FILMS WITH NATIVE-OXIDE FREE SURFACES, A SAKAI,T TATSUMI,T NIINO,H ONO,K ISHIDA, DENKI KAGAKU, ELECTROCHEMICAL SOC JAPAN, Vol. 59, No. 12, p. 1043-1049, 1991/12
  • An advanced fabrication technology of hemispherical grained (HSG) poly-Si for high capacitance storage electrodes, H. Watanabe,T. Tatsumi,T. Niino,A. Sakai,S. Adachi,N. Aoto,K. Koyama,T. Kikkawa, Extended Abstracts of the 1991 International Conference on Solid State Devices and Materials, 1991/09
  • CRYSTALLIZATION OF AMORPHOUS-SILICON WITH CLEAN SURFACES, A SAKAI,H ONO,K ISHIDA,T NIINO,T TATSUMI, JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS, JAPAN J APPLIED PHYSICS, Vol. 30, No. 6A, p. L941-L943, 1991/06
  • STRUCTURAL MODIFICATION OF A 7X7 SUPERSTRUCTURE BURIED AT THE AMORPHOUS SI/SI(111) INTERFACE DURING SOLID-PHASE EPITAXIAL-GROWTH, A SAKAI,T TATSUMI,HIROSAWA, I,H ONO,K ISHIDA, SURFACE SCIENCE, ELSEVIER SCIENCE BV, Vol. 249, No. 1-3, p. L300-L306, 1991/06
  • DISLOCATION-RELATED PHOTOLUMINESCENCE IN SI1-XGEX/SI(100) GROWN BY MOLECULAR-BEAM EPITAXY, K TERASHIMA,M TAJIMA,A SAKAI,T TATSUMI, JOURNAL OF CRYSTAL GROWTH, ELSEVIER SCIENCE BV, Vol. 111, No. 1-4, p. 920-924, 1991/05
  • Crystallization of amorphous silicon with clean surfaces, Akira Sakai,Haruhiko Ono,Koichi Ishida,Taeko Niino,Torn Tatsumi, Japanese Journal of Applied Physics, Vol. 30, No. 6, p. L941-L943, 1991
  • High resolution transmission electron microscopy of semiconductor heterointerface, K. Ishida,A. Sakai,N. Ikarashi,H. Ono, NEC Res. Dev., Vol. 32, 1991/01
  • Crystallization of amorphous silicon with clean surfaces, Akira Sakai,Haruhiko Ono,Koichi Ishida,Taeko Niino,Torn Tatsumi, Japanese Journal of Applied Physics, Vol. 30, No. 6, p. L941-L943, 1991
  • Dislocation-related photoluminescence in Si1-xGex/Si(100) grown by molecular beam epitaxy, K. Terashima,M. Tajima,A. Sakai,T. Tatsumi, J. Cryst. Growth, Vol. 111, 1991/01
  • Structural modification of a 7x7 superstructure buried at the amorphous-Si/Si(111) interface during solid phase epitaxial growth, A. Sakai,T. Tatsumi,I. Hirosawa,H. Ono,K. Ishida, Surf. Sci. Lett., Vol. 249, 1991/01
  • HIGH-RESOLUTION TRANSMISSION ELECTRON-MICROSCOPY OF GAAS/ALAS HETEROINTERFACES GROWN ON THE MISORIENTED SUBSTRATE IN THE (110) PROJECTION, N IKARASHI,A SAKAI,T BABA,K ISHIDA,J MOTOHISA,H SAKAKI, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 57, No. 19, p. 1983-1985, 1990/11
  • HIGH-RESOLUTION TRANSMISSION ELECTRON-MICROSCOPY OF GAAS/ALAS HETEROSTRUCTURES IN THE (110) PROJECTION, N IKARASHI,A SAKAI,T BABA,K ISHIDA,J MOTOHISA,H SAKAKI, HIGH RESOLUTION ELECTRON MICROSCOPY OF DEFECTS IN MATERIALS, MATERIALS RESEARCH SOC, Vol. 183, p. 187-192, 1990
  • SI/SIOX/SI HOLE-BARRIER FABRICATION FOR BIPOLAR-TRANSISTORS USING MOLECULAR-BEAM DEPOSITION, T TATSUMI,T NIINO,A SAKAI,H HIRAYAMA,F SATO, THIN SOLID FILMS, ELSEVIER SCIENCE SA LAUSANNE, Vol. 184, No. 1-2, p. 229-235, 1990/01
  • Defect characterization and gettering effect in excimer laser gettering, Akira Sakai,Yoshio Ohshita,Akihiko Ishitani,Kazumi Takemura,Fumitoshi Toyokawa,Masao Mikami, Electronics and Communications in Japan (Part II: Electronics), Vol. 73, No. 5, p. 91-97, 1990
  • DIRECT OBSERVATION OF A 7X7 SUPERSTRUCTURE BURIED AT THE AMORPHOUS-SI/SI(111) INTERFACE, A SAKAI,T TATSUMI,K ISHIDA, ATOMIC SCALE STRUCTURE OF INTERFACES, MATERIALS RESEARCH SOC, Vol. 159, p. 315-320, 1990
  • Interface structure of Si/SiO2/Si formed by molecular beam deposition, A. Sakai,T. Tatsumi,H. Hirayama,K. Ishida, 1990/01
  • HIGH-RESOLUTION TRANSMISSION ELECTRON-MICROSCOPY OF GAAS/ALAS HETEROSTRUCTURES IN THE (110) PROJECTION, N IKARASHI,A SAKAI,T BABA,K ISHIDA,J MOTOHISA,H SAKAKI, HIGH RESOLUTION ELECTRON MICROSCOPY OF DEFECTS IN MATERIALS, MATERIALS RESEARCH SOC, Vol. 183, p. 187-192, 1990
  • SI/SIOX/SI HOLE-BARRIER FABRICATION FOR BIPOLAR-TRANSISTORS USING MOLECULAR-BEAM DEPOSITION, T TATSUMI,T NIINO,A SAKAI,H HIRAYAMA,F SATO, THIN SOLID FILMS, ELSEVIER SCIENCE SA LAUSANNE, Vol. 184, p. 229-235, 1990/01
  • Interface structural analysis of Si/SiO2/Si structure formed by molecular beam deposition for a hole-barrier in bipolar transistor, A. Sakai,T. Tatsumi,T. Niino,H. Hirayama,K. Ishida, Semiconductor Silicon 1990, Proceedings of the Sixth International Symposium on Silicon Materials Science and Technology, 1990/01
  • Defect characterization and gettering effect in excimer laser gettering, Akira Sakai,Yoshio Ohshita,Akihiko Ishitani,Kazumi Takemura,Fumitoshi Toyokawa,Masao Mikami, Electronics and Communications in Japan (Part II: Electronics), Vol. 73, No. 5, p. 91-97, 1990
  • Characterization of interface structures formed by Si-MBE, A. Sakai, J. Electron Microscopy, Vol. 39, 1990/01
  • DIRECT OBSERVATION OF A 7X7 SUPERSTRUCTURE BURIED AT THE AMORPHOUS-SI/SI(111) INTERFACE, A SAKAI,T TATSUMI,K ISHIDA, ATOMIC SCALE STRUCTURE OF INTERFACES, MATERIALS RESEARCH SOC, Vol. 159, p. 315-320, 1990
  • High-resolution transmission electron microscopy of GaAs/AlAs heterointerfaces grown on the misoriented substrate in the 〈110〉 projection, Nobuyuki Ikarashi,Akira Sakai,Toshio Baba,Koichi Ishida,Junich Motohisa,Hiroyuki Sakaki, Applied Physics Letters, Vol. 57, No. 19, p. 1983-1985, 1990
  • DIRECT OBSERVATION OF A 7X7 SUPERSTRUCTURE AT THE AMORPHOUS SI/SI(111) INTERFACE BY CROSS-SECTIONAL HIGH-RESOLUTION TRANSMISSION ELECTRON-MICROSCOPY, A SAKAI,T TATSUMI,K ISHIDA, SURFACE SCIENCE, ELSEVIER SCIENCE BV, Vol. 224, No. 1-3, p. L956-L964, 1989/12
  • HIGH-RESOLUTION ELECTRON-MICROSCOPY OF THE GAAS/ALGAAS HETEROINTERFACE WITH (200) AND TRANSMITTED BEAMS, N IKARASHI,A SAKAI,T BABA,K ISHIDA, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 55, No. 24, p. 2509-2511, 1989/12
  • INTERFACE ATOMIC-STRUCTURE OF SI/SIO2/SI FORMED BY MOLECULAR-BEAM DEPOSITION, A SAKAI,T TATSUMI,T NIINO,H HIRAYAMA,K ISHIDA, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 55, No. 24, p. 2500-2502, 1989/12
  • エキシマレーザゲッタリングにおける欠陥の形成機構とゲッタリング効果, 酒井朗,竹村和美,豊川文敏,三上雅生,大下祥雄,石谷明彦, 電子情報通信学会論文誌 C, Vol. J72-C-II, No. 5, p. 589-594, 1989/05
  • Interface atomic structure of Si/SiO2/Si formed by molecular beam deposition, Akira Sakai,Toru Tatsumi,Taeko Niino,Hiroyuki Hirayama,Koichi Ishida, Applied Physics Letters, Vol. 55, No. 24, p. 2500-2502, 1989
  • Si/SiOx/Si Hole-Barrier Fabrication for Bipolar Transistors Using Molecular Beam Deposition, Toru Tatsumi,Taeko Niino,Akira Sakai,Hiroyuki Hirayama, Japanese Journal of Applied Physics, Vol. 28, No. 10 A, p. L1678-L1681, 1989
  • Direct observation of a 7x7 superstructure at the amorphous-Si/Si(111) interface by cross-sectional high resolution transmission electron microscopy, A. Sakai,T. Tatsumi,K. Ishida, Surf. Sci., Vol. 224, 1989/01
  • High-resolution electron microscopy of the GaAs/AlGaAs heterointerface with (200) and transmitted beams, Nobuyuki Ikarashi,Akira Sakai,Toshio Baba,Koichi Ishida, Applied Physics Letters, Vol. 55, No. 24, p. 2509-2511, 1989
  • Interface atomic structure of Si/SiO2/Si formed by molecular beam deposition, Akira Sakai,Toru Tatsumi,Taeko Niino,Hiroyuki Hirayama,Koichi Ishida, Applied Physics Letters, Vol. 55, No. 24, p. 2500-2502, 1989
  • エキシマレーザゲッタリングにおける欠陥の形成機構とゲッタリング効果, 酒井朗,竹村和美,豊川文敏,三上雅生,大下祥雄,石谷明彦, 電子情報通信学会論文誌, Vol. J72-C-II, 1989/01
  • NATURE OF LATTICE-DEFECTS INDUCED BY EXCIMER LASER IRRADIATION FOR EXTRINSIC GETTERING, A SAKAI,H ONO,Y OHSHITA,J MATSUI, JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS, JAPAN J APPLIED PHYSICS, Vol. 27, No. 2, p. L155-L158, 1988/02
  • Excimer laser gettering of Si wafer, K. Takemura,F. Toyokawa,Y. Ohshita,A. Sakai,A. Ishitani,M. Mikami, NEC Research and Development, Vol. 90, 1988/01
  • Excimer laser gettering of Si wafer, K. Takemura,F. Toyokawa,Y. Ohshita,A. Sakai,A. Ishitani,M. Mikami, NEC Res. Dev., Vol. 90, 1988/01
  • Nature of lattice defects induced by excimer laser irradiation for extrinsic gettering, A. Sakai,H. Ono,Y. Ohshita,J. Matsui, Jpn. J. Appl. Phys., Vol. 27, 1988/01
  • PREPARATION OF HIGHLY PERFECT SINGLE-CRYSTALS OF FE-3 WT-PERCENT SI, H SAKA,A SAKAI,T IMURA,S NAKATANI,S KIKUTA, PHYSICA STATUS SOLIDI A-APPLIED RESEARCH, AKADEMIE VERLAG GMBH, Vol. 101, No. 1, p. 51-55, 1987/05
  • A new gettering of Si wafer by excimer laser irradiation, F. Toyokawa,K. Takemura,Y. Ohshita,A. Sakai, J. Electrochem. Soc., Vol. 134, 1987/01
  • Preparation of highly perfect single crystals of Fe3 wt%Si, H. Saka,A. Sakai,T. Imura,S. Nakatani,S. Kikuta, physica status solidi (a), Vol. 101, No. 1, p. 51-55, 1987
  • REDUCTION OF DISLOCATION DENSITY IN SI BY THERMAL CYCLIC ANNEALING, A SAKAI,H SAKA,T IMURA, PHYSICA STATUS SOLIDI A-APPLIED RESEARCH, AKADEMIE VERLAG GMBH, Vol. 97, No. 1, p. 57-63, 1986/09
  • REDUCTION OF DISLOCATION DENSITY IN SI BY THERMAL CYCLIC ANNEALING, A SAKAI,H SAKA,T IMURA, PHYSICA STATUS SOLIDI A-APPLIED RESEARCH, AKADEMIE VERLAG GMBH, Vol. 97, No. 1, p. 57-63, 1986/09
  • INSITU HREM OBSERVATION OF SOLID LIQUID INTERFACE, H SAKA,A SAKAI,T KAMINO,T IMURA, PHILOSOPHICAL MAGAZINE A-PHYSICS OF CONDENSED MATTER STRUCTURE DEFECTS AND MECHANICAL PROPERTIES, TAYLOR & FRANCIS LTD, Vol. 52, No. 3, p. L29-L32, 1985
  • INSITU HREM OBSERVATION OF SOLID LIQUID INTERFACE, H SAKA,A SAKAI,T KAMINO,T IMURA, PHILOSOPHICAL MAGAZINE A-PHYSICS OF CONDENSED MATTER STRUCTURE DEFECTS AND MECHANICAL PROPERTIES, TAYLOR & FRANCIS LTD, Vol. 52, No. 3, p. L29-L32, 1985

Misc.

  • Analysis of local strain fields around individual threading dislocations in HVPE-GaN bulk crystals by using nanobeam X-Ray diffraction, 濱地威明,藤平哲也,林侑介,宇佐美茂佳,今西正幸,森勇介,隅谷和嗣,今井康彦,木村滋,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 68th, 2021
  • Depth-resolved tomographic analysis on thick AlN films grown on NPSS using nanobeam X-ray diffraction, 山本望,林侑介,濱地威明,中西悠太,藤平哲也,隅谷和嗣,今井康彦,木村滋,正直花奈子,三宅秀人,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 68th, 2021
  • Gate-controlled modulation of synaptic properties in 4-terminal planar TiO<sub>2-x</sub> memristive devices, 安達健太,林侑介,藤平哲也,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 68th, 2021
  • Development and resistive switching properties of four-terminal planer amorphous GaO<sub>x</sub> memristive devices, 池内太志,林侑介,藤平哲也,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 68th, 2021
  • Operand analysis of local piezoelectric lattice deformation in AlGaN/GaN HEMT devices by synchrotron radiation nanobeam X-ray diffraction, 塩見春奈,嶋田章宏,藤平哲也,林侑介,金木奨太,橋詰保,今井康彦,隅谷和嗣,木村滋,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 68th, 2021
  • Structure analysis of OVPE-grown GaN crystals by using nanobeam X-ray diffraction, 栗谷淳,藤平哲也,濱地威明,林侑介,滝野淳一,隅智亮,宇佐美茂佳,今西正幸,森勇介,隅谷和嗣,今井康彦,木村滋,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 68th, 2021
  • Crystalline microstructure and electric property of four-terminals oxide memristors, 藤平哲也,三宅亮太郎,谷口奈穂,上甲守治,林侑介,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 68th, 2021
  • Atomic Scale Mechanism of Novel Oxide-based Memristor and Fabrication of Diversified Synaptic Device, 藤平哲也,酒井朗, 村田学術振興財団年報, No. 34, 2020
  • First-principles analysis of oxygen vacancy behavior in rutile TiO<sub>2</sub> under external electric fields, 井阪健,藤平哲也,林侑介,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 67th, 2020
  • Thermal Strain Analysis on High-Temperature-Annealed Sputtered AlN, 林侑介,上杉謙次郎,正直花奈子,三宅秀人,藤平哲也,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 67th, 2020
  • Investigation of morphology and current leakage properties for individual threading dislocations in a HVPE-GaN bulk single crystal grown on a Na-flux-GaN crystal, 濱地威明,藤平哲也,林侑介,今西正幸,森勇介,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 67th, 2020
  • Depth-resolved tomographic analysis of high-Ge-content SiGe/compositionally graded SiGe/Si stacked structure using nanobeam X-ray diffraction, 志田和己,藤平哲也,林侑介,隅谷和嗣,今井康彦,木村滋,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 67th, 2020
  • Implementation of Pavlovian conditioning in 4-terminal planar TiO<sub>2-x</sub> memristive devices, 三宅亮太郎,林侑介,藤平哲也,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 67th, 2020
  • Nano-scale structure analysis of bulk GaN crystals grown by OVPE method, 栗谷淳,藤平哲也,濱地威明,林侑介,滝野淳一,隅智亮,今西正幸,森勇介,隅谷和嗣,今井康彦,木村滋,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 81st, 2020
  • Polarity Determination Using Electron Diffraction for -c/+c AlN Films Fabricated by Sputtering and High-Temperature Annealing, 林侑介,野本健斗,濱地威明,藤平哲也,三宅秀人,五十嵐信行,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 81st, 2020
  • Operand observation of local piezoelectric lattice deformation in AlGaN/GaN HEMT devices by synchrotron radiation nanobeam X-ray diffraction, 嶋田章宏,塩見春奈,藤平哲也,林侑介,金木奨太,橋詰保,今井康彦,隅谷和嗣,木村滋,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 81st, 2020
  • Implementation of STP/LTP based on 2D control of oxygen vacancy distribution in 4-terminal planar TiO<sub>2-x</sub> memristive device, 安達健太,三宅亮太郎,林侑介,藤平哲也,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 81st, 2020
  • Analysis for three-dimensional propagation behaviors of a and a + c types threading dislocations in HVPE-grown GaN bulk crystals, 濱地威明,藤平哲也,林侑介,今西正幸,森勇介,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 81st, 2020
  • Microstructure analysis of thick AlN films grown with sputter-deposited annealed AlN templates on nano-patterned sapphire substrates, 山本望,濱地威明,林侑介,藤平哲也,三宅秀人,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 81st, 2020
  • Correlation between three-dimensional morphology and Burgers vector of threading dislocations in GaN bulk crystals grown by HVPE methods, 濱地威明,藤平哲也,林侑介,今西正幸,森勇介,五十嵐信行,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 81st, 2020
  • Etching of Ge substrate surface by iodine solution treatment, 森悠,濱地威明,阿保智,酒井朗,金島岳, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 81st, 2020
  • Resistive switching and synaptic properties of amorphous GaO<sub>x</sub> memristive devices, 上甲守治,池内太志,林侑介,藤平哲也,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 81st, 2020
  • 低消費電力人工シナプス素子開発を目指した酸化物メモリスタ材料の原子・電子構造解析, 藤平哲也,酒井朗,竹内正太郎,井阪健,五十嵐信行, 名古屋大学電子光学研究のあゆみ, No. 30, 2019
  • ドナー密度分布制御型メモリスタ素子における抵抗変化機構の有限要素法シミュレーション, 永田善也,藤平哲也,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 66th, 2019
  • 放射光ナノビームX線回折による窒化物半導体HEMTデバイスにおける圧電応答局所格子変形の直接観測, 植田瑛,藤平哲也,安藤祐次,橋詰保,今井康彦,隅谷和嗣,木村滋,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 66th, 2019
  • TiO<sub>2-x</sub>エピタキシャル薄膜を用いた4端子メモリスタ素子の抵抗変化特性, 三宅亮太郎,藤平哲也,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 66th, 2019
  • 放射光ナノビームX線回折を用いた半導体材料・デバイスの構造解析, 酒井朗,志田和己,植田瑛,藤平哲也,今井康彦,隅谷和嗣,木村滋, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 66th, 2019
  • 放射光ナノビームX線回折による窒化物半導体HEMTデバイスにおける圧電応答局所格子変形ダイナミクスの観測, 植田瑛,藤平哲也,安藤祐次,橋詰保,今井康彦,隅谷和嗣,木村滋,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 66th, 2019
  • NaフラックスGaNバルク単結晶の単独転位における漏れ電流特性とバーガースベクトルの解析, 濱地威明,藤平哲也,今西正幸,森勇介,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 66th, 2019
  • OVPE法によるホモエピタキシャルGaN厚膜の欠陥構造評価, 真鍋海希,藤平哲也,滝野淳一,滝野淳一,隅智亮,今西正幸,森勇介,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 66th, 2019
  • GaO<sub>x</sub>を用いたクロスバーアレイメモリスタの開発と抵抗変化特性, 上甲守治,林侑介,藤平哲也,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 80th, 2019
  • ドナー密度分布制御型メモリスタ素子における抵抗変化機構の有限要素法シミュレーション, 永田善也,藤平哲也,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 80th, 2019
  • 組成傾斜層を有するSi基板上高Ge組成SiGe膜の深さ分解ナノビームX線回折評価, 志田和己,藤平哲也,林侑介,隅谷和嗣,今井康彦,木村滋,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 80th, 2019
  • 近赤外波長変換に向けた+c AlN/-c AlN構造の作製, 林侑介,上杉謙次郎,正直花奈子,片山竜二,酒井朗,三宅秀人, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 80th, 2019
  • 4端子TiO<sub>2-x</sub>薄膜メモリスタ素子によるシナプス特性の実装, 三宅亮太郎,林侑介,藤平哲也,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 80th, 2019
  • ハイドライド気相成長GaNバルク単結晶の単独貫通転位における漏れ電流評価, 濱地威明,藤元聖人,藤平哲也,林侑介,今西正幸,森勇介,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 80th, 2019
  • Three-dimensional Analysis of Defect-related Singularity Structures in Semiconductor Materials, 酒井朗,鎌田祥平,鎌田祥平,志田和己,竹内正太郎,今井康彦,木村滋, 日本結晶成長学会誌(CD-ROM), Vol. 45, No. 1, 2018
  • 窒化物半導体中の格子欠陥が生み出す特異構造の3次元解析, 酒井朗,志田和己,竹内正太郎,藤平哲也,今井康彦,木村滋, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 65th, 2018
  • ナノビームX線回折法を用いた組成傾斜層を有する高Ge組成SiGe膜の結晶深さ方向格子面微細構造トモグラフィック解析, 志田和己,竹内正太郎,藤平哲也,今井康彦,木村滋,SCHULZE Andreas,CAYMAX Matty,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 65th, 2018
  • 酸素空孔分布制御型4端子メモリスタ素子における抵抗変化機構の有限要素法シミュレーション, 永田善也,清水拓磨,竹内正太郎,藤平哲也,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 65th, 2018
  • 酸素空孔分布制御型4端子メモリスタ素子の抵抗変化特性精密制御, 清水拓磨,永田善也,竹内正太郎,藤平哲也,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 65th, 2018
  • 改良型NaフラックスGaN単結晶内の単独転位における漏れ電流特性評価, 濱地威明,竹内正太郎,藤平哲也,今西正幸,今出完,森勇介,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 65th, 2018
  • 改良型NaフラックスGaN単結晶内単独転位の漏れ電流特性解析, 濱地威明,藤平哲也,今西正幸,森勇介,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 79th, 2018
  • ナノビームX線回折法による改良型NaフラックスGaNバルク単結晶の深さ方向結晶構造解析, 志田和己,山本望,藤平哲也,今西正幸,森勇介,隅谷和嗣,今井康彦,木村滋,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 79th, 2018
  • Development of Four Terminal Memristive Devices for Expressing Hetero Synaptic Functions in Neuromorphic Computing, 酒井朗,竹内正太郎,藤平哲也, 村田学術振興財団年報, No. 32, 2018
  • ナノビームX線回折法による周期溝加工基板上AlN厚膜の結晶深さ方向構造解析, 志田和己,竹内正太郎,三宅秀人,三宅秀人,平松和政,隅谷和嗣,今井康彦,木村滋,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 78th, 2017/08/25
  • ルチル型TiO<sub>2</sub>単結晶メモリスタ素子の抵抗変化領域における価電子状態解析, 山口賢吾,竹内正太郎,五十嵐信行,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 64th, 2017
  • ルチル型TiO<sub>2</sub>単結晶の酸素空孔分布制御による抵抗変化の繰返し特性, 清水拓磨,竹内正太郎,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 64th, 2017
  • 4端子TiO<sub>2</sub>単結晶メモリスタの抵抗変化特性, 清水拓磨,竹内正太郎,藤平哲也,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 78th, 2017
  • 圧電応答顕微鏡法によるNaフラックスGaN単結晶の局所圧電物性解析, 植田瑛,竹内正太郎,今西正幸,今出完,森勇介,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 78th, 2017
  • ルチル型TiO<sub>2</sub>単結晶微細メモリスタ素子における抵抗変化領域のTEM微構造解析, 山口賢吾,村上弘弥,清水拓磨,竹内正太郎,藤平哲也,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 78th, 2017
  • NaフラックスGaN単結晶内の孤立転位に起因した局所漏れ電流特性評価, 濱地威明,竹内正太郎,今西正幸,今出完,森勇介,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 78th, 2017
  • エピタキシャルGeナノドット含有Si構造を用いたSi系熱電材料の性能向上, 山阪司祐人,渡辺健太郎,渡辺健太郎,澤野憲太郎,竹内正太郎,酒井朗,中村芳明,中村芳明, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 63rd, 2016
  • 3次元逆格子空間マップ解析による周期溝SiC基板上AlN厚膜の微視的結晶構造評価, 鎌田祥平,竹内正太郎,KHAN Dinh Thanh,三宅秀人,平松和政,今井康彦,木村滋,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 63rd, 2016
  • 種結晶GaN表面および成長モードを制御したNaフラックスGaNの欠陥構造解析, 水田祐貴,竹内正太郎,今西正幸,今出完,森勇介,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 63rd, 2016
  • ルチル型TiO<sub>2</sub>単結晶の酸素空孔分布制御と抵抗変化特性, 下谷将人,竹内正太郎,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 63rd, 2016
  • X線マイクロ回折を用いた3次元逆格子空間マップ解析による窒化物半導体結晶構造評価, 鎌田祥平,竹内正太郎,KHAN Dinh Thanh,三宅秀人,平松和政,今井康彦,木村滋,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 63rd, 2016
  • X線マイクロ回折による高Ge組成SiGe/組成傾斜層の結晶性断層マッピング解析, 志田和己,竹内正太郎,今井康彦,木村滋,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 63rd, 2016
  • ルチル型TiO<sub>2</sub>単結晶の酸素空孔分布制御による可逆的抵抗変化特性, 清水拓磨,竹内正太郎,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 77th, 2016
  • X線マイクロ回折による種結晶表面・成長モード制御NaフラックスGaNの微視的結晶構造解析, 水田祐貴,竹内正太郎,今西正幸,今出完,今井康彦,木村滋,森勇介,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 77th, 2016
  • 極薄Al<sub>2</sub>O<sub>3</sub>/SiO<sub>2</sub>BOX層を有する貼り合わせGeOI基板の熱処理による電気特性改善, 吉田啓資,中村芳明,竹内正太郎,守山佳彦,守山佳彦,手塚勉,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 62nd, 2015
  • Naフラックス結合成長法で作製したGaNバルク結晶における成長初期界面の欠陥構造解析, 浅津宏伝,竹内正太郎,今西正幸,中村芳明,今出完,森勇介,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 62nd, 2015
  • Improvements of electrical properties of wafer-bonded GeOI substrates with ultrathin Al<sub>2</sub>O<sub>3</sub>/SiO<sub>2</sub> hybrid BOX layers by post-annealing, 吉田啓資,竹内正太郎,中村芳明,酒井朗, 電子情報通信学会技術研究報告, Vol. 115, No. 108(SDM2015 38-56), 2015
  • 窒化物半導体の成長表・界面制御と転位挙動-Naフラックス成長GaN結晶を中心に-, 酒井朗,浅津宏伝,竹内正太郎,中村芳明,今西正幸,今出完,森勇介, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 76th, 2015
  • X線マイクロ回折法による半極性面(20-21)GaN厚膜の欠陥分布評価, 内山星郎,竹内正太郎,荒内琢士,橋本健宏,山根啓輔,岡田成仁,今井康彦,木村滋,只友一行,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 76th, 2015
  • Si基板上高密度エピタキシャル鉄酸化物ナノドットの形成とスイッチング特性, 渡辺健太郎,前田佳輝,中本悠太,松井秀紀,竹内正太郎,酒井朗,中村芳明, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 76th, 2015
  • ルチル型TiO<sub>2</sub>単結晶の抵抗変化特性と結晶構造変化, 下谷将人,村上弘弥,竹内正太郎,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 76th, 2015
  • エピタキシャルFe<sub>3</sub>O<sub>4-δ</sub>ナノドット/Si基板における抵抗スイッチング特性の成長温度依存性, 前田佳輝,渡辺健太郎,中本悠太,松井秀紀,竹内正太郎,酒井朗,中村芳明, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 76th, 2015
  • X線マイクロ回折を用いた3次元逆格子空間マップ解析による窒化物半導体結晶構造評価, 鎌田祥平,竹内正太郎,KHAN Dinh Thanh,三宅秀人,平松和政,今井康彦,木村滋,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 76th, 2015
  • Si中エピタキシャルGeナノドットを用いた熱抵抗制御, 山阪司祐人,中村芳明,中村芳明,上田智広,竹内正太郎,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 62nd, 2015
  • 鉄シリサイド核/Siを用いた鉄酸化物のエピタキシャル成長, 松井秀紀,中村芳明,中本悠太,竹内正太郎,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 62nd, 2015
  • エピタキシャルGeナノドット含有Si薄膜における熱電特性制御, 山阪司祐人,渡辺健太郎,澤野憲太郎,竹内正太郎,酒井朗,中村芳明, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 76th, 2015
  • β-FeSi<sub>2</sub>ナノドット積層構造における熱電特性の支配要因, 坂根駿也,渡辺健太郎,竹内正太郎,酒井朗,中村芳明, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 76th, 2015
  • Al<sub>2</sub>O<sub>3</sub>挿入層を有する貼り合わせGeOI基板の電気特性評価, 吉田啓資,中村芳明,竹内正太郎,守山佳彦,守山佳彦,手塚勉,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 61st, 2014
  • 極薄Si酸化膜技術を用いてエピタキシャル成長したSi基板上Fe<sub>3</sub>O<sub>4</sub>ナノドットの抵抗スイッチング特性, 松井秀紀,中村芳明,中村芳明,竹内正太郎,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 61st, 2014
  • Naフラックス結合成長法における成長ハビットと転位挙動の関係, 今西正幸,村上航介,今林弘毅,高澤秀生,松尾大輔,轟夕摩,丸山美帆子,浅津宏伝,竹内正太郎,中村芳明,酒井朗,今出完,吉村政志,森勇介, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 61st, 2014
  • エピタキシャルSiナノドット積層構造へのドーピング技術開発とその熱電特性, 上田智広,中村芳明,中村芳明,竹内正太郎,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 61st, 2014
  • エピタキシャルGeナノドットを有するSi熱電薄膜の電気特性評価, 山阪司祐人,中村芳明,中村芳明,上田智広,竹内正太郎,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 61st, 2014
  • Ge-nMOSFET向けn<sup>+</sup>-Ge/n<sup>+</sup>-SiGe積層ストレッサーによるGeチャネルへのひずみ導入および寄生抵抗の低減, 守山佳彦,守山佳彦,上牟田雄一,鎌田善己,池田圭司,竹内正太郎,中村芳明,酒井朗,手塚勉, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 61st, 2014
  • 窒化物半導体結晶特異構造の構造解析評価-マルチスケール評価へのアプローチ-, 酒井朗,竹内正太郎,中村芳明,三宅秀人,平松和政,今井康彦,木村滋, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 75th, 2014
  • Si基板上エピタキシャルFe<sub>3</sub>O<sub>4-δ</sub>ナノドットの抵抗変化特性とそのアニール処理依存性, 松井秀紀,中村芳明,中村芳明,竹内正太郎,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 75th, 2014
  • Siウェーハの曲げ強度に対する表層窒素濃度の影響, 須藤治生,荒木浩司,日高洋美,荒木延恵,竹内正太郎,中村芳明,酒井朗,泉妻宏治, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 75th, 2014
  • エピタキシャル鉄シリサイドナノドット積層構造の熱電特性, 山阪司祐人,中村芳明,中村芳明,鶴崎晋也,竹内正太郎,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 75th, 2014
  • 周期溝加工(22-43)サファイア基板上半極性面(20-21)GaNの微視的結晶構造解析, 荒内琢士,竹内正太郎,橋本健宏,中村芳明,今井康彦,山根啓輔,山根啓輔,岡田成仁,木村滋,只友一行,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 75th, 2014
  • X線回折法による半極性(20-21)GaN膜の膜厚・成長条件依存性評価, 内山星郎,竹内正太郎,荒内琢士,橋本健宏,中村芳明,山根啓輔,山根啓輔,岡田成仁,只友一行,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 75th, 2014
  • Epitaxial growth of iron oxide nanodots on Si substrate and their electronic states, ISHIBE Takafumi,NAKAMURA Yoshiaki,MATSUI Hideki,TAKEUCHI Syotaro,SAKAI Akira, Technical report of IEICE. SDM, The Institute of Electronics, Information and Communication Engineers, Vol. 113, No. 87, p. 51-55, 2013/06/18
  • Epitaxial growth of iron oxide nanodots on Si substrate and their electronic states, 石部貴史,中村芳明,中村芳明,松井秀紀,竹内正太郎,酒井朗, 電子情報通信学会技術研究報告, Vol. 113, No. 87(SDM2013 44-64), 2013
  • X線マイクロ回折による周期溝加工SiC基板上に成長したAlN厚膜の結晶性評価, 中村邦彦,KHAN Dinh Thanh,荒内琢士,竹内正太郎,中村芳明,中村芳明,三宅秀人,平松和政,今井康彦,木村滋,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 60th, 2013
  • Siウェーハの曲げ強度に対する表層酸素濃度の影響, 須藤治生,青木竜彦,荒木浩司,日高洋美,荒木延恵,中村芳明,酒井朗,泉妻宏治, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 60th, 2013
  • 表層酸素濃度が制御されたSiウェーハのデバイス活性領域における転位挙動, 浅津宏伝,竹内正太郎,山内宏哉,須藤治生,荒木浩司,中村芳明,中村芳明,泉妻宏治,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 60th, 2013
  • Si系熱電材料におけるエピタキシャルナノドット散乱体の形成とその熱伝導率評価, 山阪司祐人,中村芳明,中村芳明,上田智広,竹内正太郎,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 60th, 2013
  • エピタキシャルβ-FeSi<sub>2</sub>ナノドット積層構造の形成とその熱電特性, 五十川雅之,中村芳明,中村芳明,吉川純,竹内正太郎,酒井朗, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 60th, 2013
  • Thin-BOX GOI-pMOSFETのバックゲートバイアスによるしきい値変調, 池田圭司,守山佳彦,守山佳彦,小野瑞城,上牟田雄一,入沢寿史,鎌田善己,酒井朗,手塚勉, 応用物理学会春季学術講演会講演予稿集(CD-ROM), Vol. 60th, 2013
  • Si中エピタキシャルGeナノドット散乱体の熱伝導率低減効果, 山阪司祐人,中村芳明,中村芳明,上田智広,竹内正太郎,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 74th, 2013
  • X線回折による三角周期溝加工構造AlN/Sapphire基板上エピタキシャルAlN厚膜の結晶構造解析, 荒内琢士,竹内正太郎,中村邦彦,KHAN Dinh Thanh,中村芳明,中村芳明,三宅秀人,平松和政,酒井朗, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 74th, 2013
  • エピタキシャル成長n<sup>+</sup>-Ge:Pの活性化率向上とTi電極との接触抵抗低減, 守山佳彦,守山佳彦,上牟田雄一,鎌田善己,池田圭司,竹内正太郎,中村芳明,酒井朗,手塚勉, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 74th, 2013
  • 薄膜Al<sub>2</sub>O<sub>3</sub>/SiO<sub>2</sub>BOX層を有するUTB-GeOI基板作製, 守山佳彦,守山佳彦,池田圭司,竹内正太郎,上牟田雄一,中村芳明,酒井朗,泉妻宏治,手塚勉, 応用物理学会秋季学術講演会講演予稿集(CD-ROM), Vol. 74th, 2013
  • X線マイクロ回折によるAlN厚膜中の局所歪分布解析, 酒井朗,KHAN Dinh Thanh,竹内正太郎,中村芳明,三宅秀人,平松和政,今井康彦,木村滋, 結晶成長国内会議予稿集(CD-ROM), Vol. 43rd, 2013
  • Resistive Switching Properties of Directly Bonded SrTiO_3 Substrate, ASADA Ryota,SON Pham Phu Than,VASANT Kokate Nishad,KIKKAWA Jun,TAKEUCHI Shotaro,NAKAMURA Yoshiaki,SAKAI Akira, Technical report of IEICE. SDM, The Institute of Electronics, Information and Communication Engineers, Vol. 112, No. 92, p. 7-12, 2012/06/14
  • 半導体材料局所領域における微細構造・歪のX線マイクロ回折評価, 酒井朗,吉川純,中村芳明,今井康彦,坂田修身,木村滋, 応用物理学関係連合講演会講演予稿集(CD-ROM), Vol. 59th, 2012
  • X線マイクロ回折法によるGaN自立基板の結晶性評価, 原田進司,渡邉翔大,DINH K.T.,吉川純,中村芳明,中村芳明,三宅秀人,平松和政,今井康彦,木村滋,坂田修身,酒井朗, 応用物理学関係連合講演会講演予稿集(CD-ROM), Vol. 59th, 2012
  • ナノコンタクトエピタキシーによるSi(111)基板上Ge薄膜の形成と発光特性, 田中一樹,中村芳明,中村芳明,五十川雅之,吉川純,酒井朗, 応用物理学関係連合講演会講演予稿集(CD-ROM), Vol. 59th, 2012
  • Ge核制御を施したSi基板上超高密度鉄系ナノドットの形成, 杉元亮太,中村芳明,中村芳明,吉川純,酒井朗, 応用物理学関係連合講演会講演予稿集(CD-ROM), Vol. 59th, 2012
  • 周期溝構造AlN層/サファイア基板上に形成されたAlN厚膜中の転位構造解析, 岡本祥吾,吉川純,中村芳明,中村芳明,三宅秀人,平松和正,酒井朗, 応用物理学関係連合講演会講演予稿集(CD-ROM), Vol. 59th, 2012
  • Si基板上エピタキシャルβ-FeSi<sub>2</sub>ナノドットの積層技術開発, 五十川雅之,中村芳明,中村芳明,吉川純,竹内正太郎,酒井朗, 応用物理学会学術講演会講演予稿集(CD-ROM), Vol. 73rd, 2012
  • 薄膜Al<sub>2</sub>O<sub>3</sub>/SiO<sub>2</sub>BOX層を有する貼り合わせGeOI基板の作製, 守山佳彦,守山佳彦,池田圭司,上牟田雄一,入沢寿史,小田穣,中村芳明,酒井朗,手塚勉, 応用物理学会学術講演会講演予稿集(CD-ROM), Vol. 73rd, 2012
  • Si基板上のエピタキシャルSiナノドット積層構造の形成と熱伝導率評価, 上田智広,中村芳明,中村芳明,五十川雅之,吉川純,酒井朗, 応用物理学会学術講演会講演予稿集(CD-ROM), Vol. 73rd, 2012
  • ツイスト接合バイクリスタルSrTiO<sub>3</sub>(001)基板の抵抗スイッチング特性評価, 浅田遼太,SON Pham Phu Than,VASANT Kokate Nishad,吉川純,竹内正太郎,中村芳明,酒井朗, 応用物理学会学術講演会講演予稿集(CD-ROM), Vol. 73rd, 2012
  • X線マイクロ回折によるFACELO成長GaN膜の結晶性評価, 中村邦彦,原田進司,KHAN Dinh Thanh,吉川純,竹内正太郎,中村芳明,中村芳明,三宅秀人,平松和政,今井康彦,木村滋,酒井朗, 応用物理学会学術講演会講演予稿集(CD-ROM), Vol. 73rd, 2012
  • Evaluation of Electrical Property at SrTiO_3 Bicrystal Interface by EBIC, KATO Tetsuji,PHAM Son Phu Thanh,NAKAMURA Yoshiaki,KIKKAWA Jun,SAKAI Akira, IEICE technical report, The Institute of Electronics, Information and Communication Engineers, Vol. 111, No. 114, p. 93-96, 2011/06/27
  • フォーミング過程に起因するSrTiO<sub>3</sub>バイクリスタル接合界面のEBICコントラスト変化, 加藤哲司,SON Pham Phu Thanh,中村芳明,吉川純,酒井朗, 応用物理学関係連合講演会講演予稿集(CD-ROM), Vol. 58th, 2011
  • 貼り合わせGOI基板における電気特性の熱処理雰囲気依存性, 山阪司祐人,南圭祐,中村芳明,吉武修,吉川純,泉妻宏治,酒井朗, 応用物理学関係連合講演会講演予稿集(CD-ROM), Vol. 58th, 2011
  • X線マイクロ回折を用いたGaN自立基板の格子面傾斜ゆらぎの解析, 渡邉翔大,原田進司,KHAN D. T.,吉川純,中村芳明,三宅秀人,平松和政,今井康彦,木村滋,坂田修身,酒井朗, 応用物理学関係連合講演会講演予稿集(CD-ROM), Vol. 58th, 2011
  • 貼り合わせGeOI基板における界面近傍欠陥密度の熱処理依存性, 山阪司祐人,中村芳明,中村芳明,南圭祐,吉武修,吉川純,泉妻宏治,酒井朗, 応用物理学会学術講演会講演予稿集(CD-ROM), Vol. 72nd, 2011
  • 極薄Si酸化膜を用いてナノ界面制御したSi基板上超高密度鉄酸化物ナノドットの形成, 浜中啓伸,中村芳明,中村芳明,杉元亮太,吉川純,酒井朗, 応用物理学会学術講演会講演予稿集(CD-ROM), Vol. 72nd, 2011
  • アセチルアセトナート錯体を用いた酸化バナジウム・ナノワイヤの成長, 石部貴史,吉川純,中村芳明,酒井朗, 応用物理学会学術講演会講演予稿集(CD-ROM), Vol. 72nd, 2011
  • 四探針型pseudo-MOSトランジスタ法を用いた貼り合せGOI基板の電気特性評価, 岩崎裕司,中村芳明,吉川純,酒井朗,佐藤元樹,豊田英二,磯貝宏道,泉妻宏治, 応用物理学関係連合講演会講演予稿集(CD-ROM), Vol. 57th, 2010
  • Si基板上に選択エピタキシャル成長したGe細線の歪緩和過程, 海老原洪平,原田進司,吉川純,中村芳明,酒井朗,WANG Gang,CAYMAX Matty,今井康彦,木村滋,坂田修身, 応用物理学関係連合講演会講演予稿集(CD-ROM), Vol. 57th, 2010
  • 貼り合せGOI基板におけるGe/SiO<sub>2</sub>界面構造の熱処理依存性, 吉武修,吉川純,中村芳明,酒井朗,豊田英二,磯貝宏道,泉妻宏治, 応用物理学関係連合講演会講演予稿集(CD-ROM), Vol. 57th, 2010
  • Si(110)表面構造のオフ角依存性, 山下鎮,山本昌,中村芳明,吉川純,酒井朗,豊田英二,佐藤元樹,磯貝宏道,泉妻宏治, 応用物理学関係連合講演会講演予稿集(CD-ROM), Vol. 57th, 2010
  • 極薄Si酸化膜を用いたSi(111)基板上超高密度鉄系ナノドットの形成, 浜中啓伸,中村芳明,田中一樹,吉川純,酒井朗, 応用物理学会学術講演会講演予稿集(CD-ROM), Vol. 71st, 2010
  • スピンMOSFET用Fe<sub>3</sub>Si/SOI(111)高品質接合の作製, 馬場雄三,村上達彦,橋本直樹,安藤裕一郎,浜屋宏平,浜屋宏平,吉川純,中村芳明,豊田英二,泉妻宏治,酒井朗,宮尾正信, 応用物理学会学術講演会講演予稿集(CD-ROM), Vol. 71st, 2010
  • 四探針型Pseudo-MOSトランジスタ法を用いた貼り合せGermanium(111)-on-Insulator基板の電気特性評価, 南圭祐,中村芳明,吉川純,豊田英二,泉妻宏治,浜屋宏平,宮尾正信,酒井朗, 応用物理学会学術講演会講演予稿集(CD-ROM), Vol. 71st, 2010
  • Si基板上サブミクロン領域にエピタキシャル成長したGe薄膜の転位構造, 原田進司,海老原洪平,吉川純,中村芳明,酒井朗,WANG Gang,CAYMAX Matty, 応用物理学会学術講演会講演予稿集(CD-ROM), Vol. 71st, 2010
  • Characterization and Control of Asymmetric Local Strain of Ge/Si<sub>1-x</sub>Ge<sub>x</sub>/Si Microfabricated Structures, 中塚理,中塚理,水谷卓也,望月健太,酒井朗,近藤博基,財満鎭明, 豊田研究報告, No. 63, 2010
  • Ge/Si<sub>1-x</sub>Ge<sub>x</sub>/Siマイクロ構造形成による局所歪および転位挙動の制御, 水谷卓也,望月健太,中塚理,近藤博基,酒井朗,財満鎭明, 応用物理学関係連合講演会講演予稿集, Vol. 56th, No. 1, 2009
  • Direct Si Bonding基板の接合界面酸化膜消滅過程における結晶性変化, 加藤哲司,大原悠司,吉川純,中村芳明,酒井朗,中塚理,財満鎭明,豊田英二,泉妻宏治,木村滋,坂田修身, 応用物理学関係連合講演会講演予稿集, Vol. 56th, No. 1, 2009
  • Si(001)基板上に選択エピタキシャル成長したGe薄膜における格子欠陥の構造特性, 海老原洪平,山下鎮,吉川純,中村芳明,酒井朗,WANG Gang,CAYMAX Matty, 応用物理学関係連合講演会講演予稿集, Vol. 56th, No. 1, 2009
  • 低温成長による高Sn組成Ge<sub>1-x</sub>Sn<sub>x</sub>バッファ層の形成, 志村洋介,筒井宣匡,中塚理,酒井朗,財満鎭明, 応用物理学関係連合講演会講演予稿集, Vol. 56th, No. 1, 2009
  • FIB-CVD法で作製したカーボン系堆積物におけるアニールの影響, YO T.,酒井朗,柳沢淳一,柳沢淳一, 応用物理学関係連合講演会講演予稿集, Vol. 56th, No. 2, 2009
  • Gaイオン注入したシリコン窒化膜上へのMOCVDによるGaNの選択成長, 石泉和也,柳沢淳一,柳沢淳一,酒井朗, 応用物理学関係連合講演会講演予稿集, Vol. 56th, No. 2, 2009
  • 刃状転位ネットワークを用いたエピタキシャルナノドットの自己組織化配列, 高橋雅彦,藤原達記,中村芳明,吉川純,酒井朗,中塚理,財満鎭明, 応用物理学会学術講演会講演予稿集, Vol. 70th, No. 2, 2009
  • Si(001)基板上に選択エピタキシャル成長したGe薄膜における歪緩和過程, 海老原洪平,山下鎮,吉川純,中村芳明,酒井朗,WANG Gang,CAYMAX Matty,今井康彦,木村滋,坂田修身, 応用物理学会学術講演会講演予稿集, Vol. 70th, No. 1, 2009
  • Si(001)基板上への高Sn組成歪緩和Ge<sub>1-x</sub>Sn<sub>x</sub>バッファ層の形成, 志村洋介,筒井宣匡,中塚理,酒井朗,財満鎭明, 応用物理学会学術講演会講演予稿集, Vol. 70th, No. 1, 2009
  • 貼り合わせGOI基板のGe/SiO<sub>2</sub>接合界面の構造評価, 吉武修,吉川純,中村芳明,酒井朗,豊田英二,磯貝宏道,泉妻宏治, 応用物理学会学術講演会講演予稿集, Vol. 70th, No. 1, 2009
  • SOI基板上に成長したGe<sub>1-x</sub>Sn<sub>x</sub>層のキャリア移動度評価, 筒井宣匡,志村洋介,中塚理,酒井朗,財満鎮明, 応用物理学会学術講演会講演予稿集, Vol. 70th, No. 1, 2009
  • Si(011)基板表面平坦性のオフ角依存性, 豊田英二,磯貝宏道,佐藤元樹,泉妻宏治,山下鎮,中村芳明,吉川純,酒井朗, 応用物理学会学術講演会講演予稿集, Vol. 70th, No. 1, 2009
  • Si(110)オフ基板の表面構造解析, 山下鎮,中村芳明,吉川純,酒井朗,豊田英二,佐藤元樹,磯貝宏道,泉妻宏治, 応用物理学会学術講演会講演予稿集, Vol. 70th, No. 1, 2009
  • CCD型検出器を用いた高角度分解能マイクロX線回折計, 今井康彦,木村滋,坂田修身,田尻寛男,酒井朗,小瀬村大亮,小椋厚志, 日本放射光学会年会・放射光科学合同シンポジウム予稿集, Vol. 23rd, 2009
  • 直接接合シリコン基板の開発, 酒井朗, 生産と技術, Vol. 61, No. 3, 2009
  • 次世代CMOSデバイス応用に向けたIV族半導体ハイブリッド基板構造の作製, 酒井朗, 天野工業技術研究所年次報告, Vol. 2008, 2009
  • Direct Silicon Bonding(DSB)基板の接合界面欠陥解析, 豊田英二,豊田英二,酒井朗,中塚理,財満鎭明,磯貝宏道,仙田剛士,泉妻宏治, 応用物理学関係連合講演会講演予稿集, Vol. 56th, No. 1, 2009
  • 高不純物濃度Si(001)基板上におけるNi/Ti/Si系の固相反応および電気特性評価, 秋元信吾,中塚理,SURYANA Risa,鈴木敦之,酒井朗,小川正毅,財満鎭明, 応用物理学関係連合講演会講演予稿集, Vol. 55th, No. 2, 2008
  • パターン加工されたGe/Si<sub>1-x</sub>Ge<sub>x</sub>/Si(001)構造におけるGe層一軸性伸張歪構造の評価, 水谷卓也,湯川勝規,中塚理,近藤博基,酒井朗,小川正毅,財満鎭明, 応用物理学関係連合講演会講演予稿集, Vol. 55th, No. 1, 2008
  • Ge MOSゲートスタックにおける界面反応の評価および制御技術, 財満鎭明,近藤博基,坂下満男,中塚理,酒井朗,小川正毅, 応用物理学関係連合講演会講演予稿集, Vol. 55th, 2008
  • X線マイクロ回折によるIV族半導体薄膜の局所歪構造評価, 中塚理,酒井朗,小川正毅,財満鎭明, 応用物理学関係連合講演会講演予稿集, Vol. 55th, 2008
  • 走査トンネル顕微鏡によるTi/Highly oriented pyrolytic graphite界面反応の評価, 久田憲司,種田智,中塚理,酒井朗,小川正毅,財満鎭明, 応用物理学関係連合講演会講演予稿集, Vol. 55th, No. 1, 2008
  • ミクタミクトTiSiNゲートMOSキャパシタの電気的特性, 古米孝平,近藤博基,坂下満男,酒井朗,小川正毅,財満鎭明, 応用物理学関係連合講演会講演予稿集, Vol. 55th, No. 2, 2008
  • Direct Silicon Bonding(DSB)基板の結晶性の評価, 豊田英二,豊田英二,酒井朗,中塚理,財満鎭明,磯貝宏道,仙田剛士,泉妻宏治,表和彦,木村滋,今井康彦, 応用物理学会学術講演会講演予稿集, Vol. 69th, No. 1, 2008
  • 伸張歪Ge形成に向けたGe<sub>1-x</sub>Sn<sub>x</sub>バッファ層のSn組成および転位構造制御, 志村洋介,筒井宣匡,中塚理,酒井朗,財満鎭明, 応用物理学会学術講演会講演予稿集, Vol. 69th, No. 1, 2008
  • Direct Si Bonding基板の微細構造, 大原悠司,上田貴浩,酒井朗,中塚理,財満鎭明,豊田英二,泉妻宏治,木村滋,坂田孝夫,森博太郎, 応用物理学会学術講演会講演予稿集, Vol. 69th, No. 1, 2008
  • Direct Silicon Bonding基板接合界面の原子レベル観察と評価, 上田貴哉,大原悠司,酒井朗,中塚理,財満鎭明,豊田英二,泉妻宏治,坂田孝夫,森博太郎, 応用物理学会学術講演会講演予稿集, Vol. 69th, No. 2, 2008
  • 金属シリサイド・ジャーマナイド/半導体コンタクトの界面構造および電子物性制御, 中塚理,酒井朗,財満鎭明, 応用物理学会学術講演会講演予稿集, Vol. 69th, 2008
  • アルコール原料ホットフィラメントCVD法による単層カーボンナノチューブ成長機構の解明, 種田智,酒井朗,中塚理,小川正毅,財満鎭明, 応用物理学関係連合講演会講演予稿集, Vol. 55th, No. 1, 2008
  • シクロペンタジエニル錯体原料を用いたMOCVD法による高誘電率Pr酸化膜の作製, 櫻井晋也,近藤博基,酒井朗,小川正毅,財満鎭明, 応用物理学関係連合講演会講演予稿集, Vol. 55th, No. 2, 2008
  • Preface for Special Issue on Recent Advances in Crystal Characterization, FUJIOKA Hiroshi,SAKAI Akira, Journal of the Japanese Association of Crystal Growth, The Japanese Association for Crystal Growth (JACG), Vol. 34, No. 3, p. 115-115, 2007/09/23
  • CS-5-2 Evaluation and Controlling Technology of Dislocation and Strains in Si_<1-x>Ge_X/Si(001) Structures, Nakatsuka Osamu,Sakai Akira,Kondo Hiroki,Ogawa Masaki,Zaima Shigeaki, Proceedings of the Society Conference of IEICE, The Institute of Electronics, Information and Communication Engineers, Vol. 2007, No. 2, p. "S-12"-"S-13", 2007/08/29
  • 仮想Ge基板上におけるGe<sub>1-x</sub>Sn<sub>x</sub>層の歪および転位構造制御, 竹内正太郎,酒井朗,中塚理,小川正毅,財満鎭明, 名古屋大学電子光学研究のあゆみ, No. 21, 2007
  • 段階状組成傾斜法を用いた伸張歪Ge/歪緩和Ge<sub>1-x</sub>Sn<sub>x</sub>層/仮想Ge基板構造の形成, 志村洋介,竹内正太郎,酒井朗,中塚理,小川正毅,財満鎭明, 応用物理学会学術講演会講演予稿集, Vol. 68th, No. 1, 2007
  • Direct Silicon Bonding(DSB)基板の接合界面および結晶性の評価, 豊田英二,豊田英二,酒井朗,磯貝宏道,仙田剛士,泉妻宏治,表和彦,中塚理,小川正毅,財満鎭明, 応用物理学会学術講演会講演予稿集, Vol. 68th, No. 1, 2007
  • SPring-8の産業利用 No.9 放射光マイクロX線回折法によるひずみ緩和SiGeバッファー層の評価, 木村滋,竹田晋吾,酒井朗, 機能材料, Vol. 27, No. 3, 2007
  • Siイオンを用いたFIB-CVD法で形成した薄膜の評価, 楊卓真,田中秀明,是山覚然,柳沢淳一,柳沢淳一,柳沢淳一,酒井朗, 応用物理学会学術講演会講演予稿集, Vol. 68th, No. 2, 2007
  • 高密度ラジカルソースの開発とラジカル窒化プロセスへの応用, 小田繁尚,原安寛,近藤博基,高島成剛,酒井朗,小川正毅,財満鎭明,堀勝,田昭治,加納浩之, 応用物理学関係連合講演会講演予稿集, Vol. 54th, No. 2, 2007
  • ダイレクトSiウェーハボンディングにおける接合特性の評価, 豊田英二,豊田英二,磯貝宏道,仙田剛士,泉妻宏治,中塚理,酒井朗,小川正毅,財満鎭明, 応用物理学関係連合講演会講演予稿集, Vol. 54th, No. 2, 2007
  • Ge(001)基板上NiGe薄膜のPt添加による熱的安定性向上, 鈴木敦之,中塚理,酒井朗,小川正毅,財満鎭明, 応用物理学関係連合講演会講演予稿集, Vol. 54th, No. 2, 2007
  • Growth and Characterization of Pr-Oxide-Based Dielectric Films on Ge Substrates, 坂下満男,鬼頭伸幸,酒井朗,小川正毅,財満鎭明, 電子情報通信学会技術研究報告, Vol. 107, No. 85(SDM2007 31-51), 2007
  • 触媒生成水素ラジカルを用いたEUVリソグラフィ光学系のためのSnクリーニング, 三浦央,弓場愛彦,赤坂洋一,酒井朗, 応用物理学会学術講演会講演予稿集, Vol. 68th, No. 2, 2007
  • ミクタミクトTiSiNゲート電極MOSキャパシタの結晶学的及び電気的特性, 古米孝平,近藤博基,酒井朗,小川正毅,財満鎭明, 応用物理学関係連合講演会講演予稿集, Vol. 54th, No. 2, 2007
  • Ge(001)基板上におけるGe<sub>1-x</sub>Sn<sub>x</sub>初期成長形態の走査トンネル顕微鏡評価, 山崎理弘,竹内正太郎,中塚理,酒井朗,小川正毅,財満鎭明, 応用物理学会学術講演会講演予稿集, Vol. 68th, No. 1, 2007
  • Si基板上のSiGe微細構造における局所歪の評価, 中塚理,近藤博基,坂下満男,酒井朗,財満鎭明,小川正毅, Research Report of CCRAST, Nagoya University, No. 18, 2007
  • ホットフィラメントCVD法による単層カーボンナノチューブ成長様式のアルコール種依存性, 種田智,酒井朗,中塚理,小川正毅,財満鎭明, 応用物理学会学術講演会講演予稿集, Vol. 68th, No. 1, 2007
  • Ge基板上に堆積したPr酸化物ゲート絶縁膜の角度分解XPSによる評価, 坂下満男,鬼頭伸幸,酒井朗,小川正毅,財満鎭明, 応用物理学会学術講演会講演予稿集, Vol. 68th, No. 2, 2007
  • Hybrid Orientation Technology(HOT)基板の接合界面および結晶性の評価, 豊田英二,豊田英二,磯貝宏道,仙田剛士,泉妻宏治,中塚理,酒井朗,小川正毅,財満鎭明, 応用物理学関係連合講演会講演予稿集, Vol. 54th, No. 1, 2007
  • パターン加工されたSiGe/Siヘテロメサ構造における局所歪のX線マイクロ回折評価, 湯川勝規,望月省吾,中塚理,酒井朗,福田一徳,木村滋,木村滋,坂田修身,泉妻宏治,仙田剛士,豊田英二,小川正毅,財満鎭明, 応用物理学関係連合講演会講演予稿集, Vol. 54th, No. 1, 2007
  • 電流検出型原子間力顕微鏡法によるゲート絶縁膜の局所電気的特性と信頼性の評価, 財満鎭明,世古明義,佐合寿文,坂下満男,酒井朗,小川正毅, 応用物理学関係連合講演会講演予稿集, Vol. 54th, 2007
  • Ge(001)基板表面の窒素ラジカル処理効果, 近藤博基,藤田美里,酒井朗,小川正毅,財満鎭明, 応用物理学会学術講演会講演予稿集, Vol. 68th, No. 2, 2007
  • Initial stage of processes and energy bandgap formation in nitridation of silicon surface using nitrogen radicals, Hiroki Kondo,Shigeaki Zaima,Akira Sakai,Masaki Ogawa, Shinku/Journal of the Vacuum Society of Japan, Vol. 50, No. 11, p. 665-671, 2007
  • Sub‐100nmゲート領域におけるNiシリサイド形成反応の観察, 伊東大介,酒井朗,中塚理,近藤博基,赤坂泰志,奈良安雄,小川正毅,財満鎮明, 応用物理学関係連合講演会講演予稿集, Vol. 53rd, No. 2, 2006/03/22
  • 極薄Ge中間層を用いた歪緩和Ge/Si(001)界面の転位構造制御, 湯川勝規,望月省吾,中塚理,酒井朗,竹田晋吾,木村滋,坂田修身,隅谷和嗣,泉妻宏治,仙田剛士,豊田英二,小川正毅,財満鎮明, 応用物理学関係連合講演会講演予稿集, Vol. 53rd, No. 1, 2006/03/22
  • Ni suicide and germanide technology for contacts and metal gates in MOSFET applications, Shigeaki Zaima,Osamu Nakatsuka,Hiroki Kondo,Mitsuo Sakashita,Akira Sakai,Masaki Ogawa, ICSICT-2006: 2006 8th International Conference on Solid-State and Integrated Circuit Technology, Proceedings, p. 322-325, 2006
  • SiGeおよびGe/Si微細ヘテロ構造における歪および転位の評価と制御, 中塚理,近藤博基,坂下満男,酒井朗,小川正毅,財満鎭明, Research Report of CCRAST, Nagoya University, No. 17, 2006
  • 仮想Ge(001)基板上における歪緩和Ge<sub>1-x</sub>Sn<sub>x</sub>バッファ層の成長と構造評価, 竹内正太郎,酒井朗,山本幸司,中塚理,小川正毅,財満鎭明, 応用物理学会学術講演会講演予稿集, Vol. 67th, No. 1, 2006
  • 仮想Ge(001)基板上における歪緩和Ge<sub>1-x</sub>Sn<sub>x</sub>バッファ層の成長と構造評価, 竹内正太郎,酒井朗,山本幸司,中塚理,小川正毅,財満鎮明, 応用物理学関係連合講演会講演予稿集, Vol. 53rd, No. 1, 2006
  • Si(001)基板上にパターン加工されたGeおよびSiGe層の歪緩和評価と制御, 望月省吾,湯川勝規,中塚理,近藤博基,酒井朗,泉妻宏治,仙田剛士,豊田英二,小川正毅,財満鎮明, 応用物理学関係連合講演会講演予稿集, Vol. 53rd, No. 1, 2006
  • 極薄アモルファスSi層の凝集とSiナノクラスタ形成過程, 近藤博基,上山知紀,酒井朗,小川正毅,財満鎭明, 応用物理学会学術講演会講演予稿集, Vol. 67th, No. 2, 2006
  • Local Charge Trapping and their Detrapping Process at Constant Voltage Stress in La<sub>2</sub>O<sub>3</sub>-Al<sub>2</sub>O<sub>3</sub> Composite Films, 佐合寿文,世古明義,坂下満男,酒井朗,小川正毅,財満鎭明, 電子情報通信学会技術研究報告, Vol. 106, No. 108(SDM2006 42-64), 2006
  • La<sub>2</sub>O<sub>3</sub>-Al<sub>2</sub>O<sub>3</sub>複合膜の局所絶縁劣化過程の電流検出型原子間力顕微鏡観察, 佐合寿文,世古明義,坂下満男,酒井朗,小川正毅,財満鎮明, 応用物理学関係連合講演会講演予稿集, Vol. 53rd, No. 2, 2006
  • Ge<sub>1-x</sub>Sn<sub>x</sub>層の歪緩和および転位構造に及ぼすGe基板の効果, 竹内正太郎,酒井朗,中塚理,小川正毅,財満鎭明, 応用物理学会学術講演会講演予稿集, Vol. 67th, No. 1, 2006
  • SiGe/Si,Ge/Si系ヘテロエピタキシャル薄膜結晶における歪と転位の評価と制御, 酒井朗,財満鎮明, 応用物理学関係連合講演会講演予稿集, Vol. 53rd, 2006
  • Ni/Ti/Si積層構造より形成したエピタキシャルNiSi<sub>2</sub>/Si(001)超平坦界面の電気特性評価, 鈴木敦之,中塚理,酒井朗,小川正毅,財満鎭明, 応用物理学会学術講演会講演予稿集, Vol. 67th, No. 2, 2006
  • Ge(001)表面の初期酸化およびエッチング過程の走査トンネル顕微鏡評価, 若園恭伸,山崎理弘,酒井朗,中塚理,竹内正太郎,小川正毅,財満鎭明, 応用物理学会学術講演会講演予稿集, Vol. 67th, No. 1, 2006
  • エピタキシャルAg/Si(111)上における触媒金属CVD法によるカーボンナノチューブ成長, 種田智,酒井朗,中塚理,小川正毅,財満鎮明, 応用物理学関係連合講演会講演予稿集, Vol. 53rd, No. 1, 2006
  • Nano-Scale Evaluations for Degradation Phenomena in Gate Insulators Using Conductive-AFM, 財満鎭明,世古明義,渡辺行彦,坂下満男,酒井朗,小川正毅, 半導体・集積回路技術シンポジウム講演論文集, Vol. 70th, 2006
  • Strain and Dislocation Engineering in Si_<1-x>Ge_x Buffer Layers, TAOKA Noriyuki,SAKAI Akira,MOCHIZUKI Shogo,NAKATSUKA Osamu,OGAWA Masaki,ZAIMA Shigeaki, Journal of the Japanese Association of Crystal Growth, The Japanese Association for Crystal Growth (JACG), Vol. 32, No. 2, p. 89-98, 2005/06/30
  • Strain Engineering for SiGe Buffer Layers for High-Mobility Si Channels(Group IV Compound Semiconductors), ZAIMA Shigeaki,SAKAI Akira,OGAWA Masaki,YASUDA Yukio, Technical report of IEICE. SDM, The Institute of Electronics, Information and Communication Engineers, Vol. 105, No. 157, p. 5-8, 2005/06/23
  • Strain Engineering for SiGe Buffer Layers for High-Mobility Si Channels(Group IV Compound Semiconductors), ZAIMA Shigeaki,SAKAI Akira,OGAWA Masaki,YASUDA Yukio, IEICE technical report. Electron devices, The Institute of Electronics, Information and Communication Engineers, Vol. 105, No. 154, p. 5-8, 2005/06/23
  • 電流検出型AFMによるhigh-kゲート絶縁膜の局所リーク電流評価, 世古明義,佐合寿文,藤塚良太,坂下満男,酒井朗,小川正毅,財満鎮明, 応用物理学関係連合講演会講演予稿集, Vol. 52nd, No. 2, 2005
  • Prシリケイト膜の結晶構造と電気的特性の熱処理依存性, 山矢隼,坂下満男,酒井朗,小川正毅,財満鎮明, 応用物理学関係連合講演会講演予稿集, Vol. 52nd, No. 2, 2005
  • ラジカル窒化法による表面窒化Siナノクリスタルを用いたドットメモリ作製と特性評価, 内藤慎哉,上山知紀,近藤博基,坂下満男,酒井朗,小川正毅,財満鎮明, 応用物理学関係連合講演会講演予稿集, Vol. 52nd, No. 2, 2005
  • Ni/Ti/Si(001)系におけるエピタキシャルNiSi<sub>2</sub>超平坦界面の低温形成, 鈴木敦之,大久保和哉,中塚理,酒井朗,小川正毅,財満鎮明, 応用物理学会学術講演会講演予稿集, Vol. 66th, No. 2, 2005
  • Cイオン注入によるNi/Si界面固相反応制御, 大久保和哉,中塚理,酒井朗,小川正毅,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 52nd, No. 2, 2005
  • 酸素熱処理La<sub>2</sub>O<sub>3</sub>-Al<sub>2</sub>O<sub>3</sub>複合膜の局所リーク電流機構の解明, 佐合寿文,世古明義,坂下満男,酒井朗,小川正毅,財満鎮明, 応用物理学会学術講演会講演予稿集, Vol. 66th, No. 2, 2005
  • パルスレーザー蒸着法によるPrシリケート膜の構造及び電気的特性評価, 有吉恵子,坂下満男,酒井朗,小川正毅,財満鎮明, 応用物理学会学術講演会講演予稿集, Vol. 66th, No. 2, 2005
  • MOSデバイス用NiGeゲート電極における仕事関数及び抵抗率のNi-Ge組成依存性, 池野大輔,金子幸広,近藤博基,坂下満男,酒井朗,小川正毅,財満鎮明, 応用物理学関係連合講演会講演予稿集, Vol. 52nd, No. 2, 2005
  • 90°転位導入によるSOI基板上歪緩和SiGeバッファ層のミスフィット転位形態制御, 田岡紀之,望月省吾,中塚理,酒井朗,小川正毅,財満鎮明, 応用物理学関係連合講演会講演予稿集, Vol. 52nd, No. 1, 2005
  • Fabrication and Characterization of FETs of Fullerene-Encapsulating Carbon Nanotubes, 大野雄高,黒川雄斗,嶋田行志,石田将史,村上陽一,酒井朗,岸本茂,丸山茂夫,篠原久典, 電子情報通信学会技術研究報告, Vol. 104, No. 625(SDM2004 229-234), 2005
  • X線マイクロ回折によるSiGe/Siヘテロ構造の局所歪の評価, 望月省吾,田岡紀之,中塚理,竹田晋吾,木村滋,酒井朗,小川正毅,財満鎮明, 応用物理学関係連合講演会講演予稿集, Vol. 52nd, No. 1, 2005
  • Analysis of Local Leakage Current in Gate Dielectric by Conductive Atomic Force Microscopy, SEKO Akiyoshi,WATANABE Yukihiko,KONDO Hiroki,SAKAI Akira,ZAIMA Shigeaki,YASUDA Yukio, Technical report of IEICE. SDM, The Institute of Electronics, Information and Communication Engineers, Vol. 104, No. 135, p. 31-36, 2004/06/15
  • Analysis of Formation Mechanism of the Energy Bandgap in the Radical Nitridation Process, KONDO Hiroki,KAWAAI Keigo,MIYAZAKI Kayoko,SAKAI Akira,ZAIMA Shigeaki,YASUDA Yukio, Technical report of IEICE. SDM, The Institute of Electronics, Information and Communication Engineers, Vol. 104, No. 134, p. 27-32, 2004/06/14
  • Preface for Special Issue on Novel Scientific and Technological Approaches to Group-IV Semiconductor and Alloy, SAKAI Akira, Journal of the Japanese Association of Crystal Growth, The Japanese Association for Crystal Growth (JACG), Vol. 31, No. 1, p. 3-3, 2004/04/30
  • (La<sub>2</sub>O<sub>3</sub>)<sub>1-x</sub>(Al<sub>2</sub>O<sub>3</sub>)<sub>x</sub>複合膜の電気特性に対する酸素熱処理の効果, 藤塚良太,坂下満男,酒井朗,小川正毅,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 65th, No. 2, 2004
  • 90°転位導入による歪緩和SiGeバッファ層の形成, 田岡紀之,江川智浩,中塚理,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 51st, No. 1, 2004
  • 90°転位導入によるSOI基板上歪緩和SiGeバッファ層の形成と転位構造解析, 田岡紀之,望月省吾,中塚理,酒井朗,小川正毅,財満鉱明,安田幸夫,手塚勉,杉山直治, 応用物理学会学術講演会講演予稿集, Vol. 65th, No. 1, 2004
  • NiGeゲートMOSキャパシタの作製と評価, 金子幸広,近藤博基,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 51st, No. 2, 2004
  • Si(100)基板上高C濃度Si<sub>1-x-y</sub>Ge<sub>x</sub>C<sub>y</sub>薄膜初期成長の走査トンネル顕微鏡観察, 竹内正太郎,若園恭伸,中塚理,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 51st, No. 1, 2004
  • 60°転位によるSi<sub>1-x</sub>Ge<sub>x</sub>/Si(001)系異方的歪緩和とそのメカニズム, 江川智浩,田岡紀之,中塚理,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 51st, No. 1, 2004
  • Si(100)面上エピタキシャルNiSi<sub>2</sub>の初期成長過程に与えるCの効果, 岡田絵美,中塚理,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 51st, No. 2, 2004
  • ゲート酸化膜劣化のストレス極性依存性の電流検出型AFMを用いた解析, 渡辺行彦,世古明義,近藤博基,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 51st, No. 2, 2004
  • Dislocation engineering for the growth of high-quality strain-relaxed SiGe buffer layers, 酒井朗,財満鎮明,安田幸夫, 電気学会電子材料研究会資料, Vol. EFM-04, No. 26-40, 2004
  • SiGeC極薄膜初期成長の走査トンネル顕微鏡評価, 若園恭伸,竹内正太郎,中塚理,酒井朗,財満鎮明,小川正毅,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 65th, No. 1, 2004
  • ストレス印加したゲートSiO<sub>2</sub>膜におけるホール放出現象の局所解析, 世古明義,渡辺行彦,近藤博基,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 51st, No. 2, 2004
  • ストレス印加したゲートSiO<sub>2</sub>膜におけるホール捕獲位置の同定, 渡辺行彦,世古明義,近藤博基,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 65th, No. 2, 2004
  • 極薄a-Si層を用いた高密度Siナノクリスタルの形成, 上山知紀,内藤慎哉,近藤博基,坂下満男,酒井朗,小川正毅,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 65th, No. 2, 2004
  • Ni/Ti/Si(001)系における低温形成エピタキシャルNiSi<sub>2</sub>層の歪み構造と成長機構, 中塚理,大久保和哉,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 51st, No. 2, 2004
  • 電流検出型AFMによるストレス印加ゲートSiO<sub>2</sub>膜の絶縁破壊進行過程の局所解析, 世古明義,渡辺行雄,近藤博基,酒井朗,小川正毅,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 65th, No. 2, 2004
  • ラジカル窒化過程におけるエネルギーバンドギャップ形成機構のSTM/STS解析, 河合圭悟,宮崎香代子,近藤博基,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 51st, No. 2, 2004
  • 分子線蒸着法を用いたシリコンナノドットメモリ構造の作製とその電気的特性の評価, 佐竹正城,内藤慎哉,上山知紀,近藤博基,坂下満男,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 51st, No. 2, 2004
  • PLD法により作製した(La<sub>2</sub>O<sub>3</sub>)<sub>1-x</sub>(Al<sub>2</sub>O<sub>3</sub>)<sub>x</sub>積層複合膜の熱的安定性と電気的特性の評価, 藤塚良太,本多一隆,坂下満男,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 51st, No. 2, 2004
  • 基板上へのピーポッドの直接合成, 黒川雄斗,大野雄高,嶋田行志,村上陽一,酒井朗,石田将史,岸本茂,岡崎俊也,丸山茂夫, 応用物理学関係連合講演会講演予稿集, Vol. 51st, No. 1, 2004
  • シリサイド/SiGeコンタクトの界面制御, 財満鎮明,中塚理,酒井朗,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 51st, 2004
  • IV族半導体SiGe(C)ヘテロ構造素子の新展開, 中川 清和,酒井 朗,櫻庭 政夫, 應用物理, Vol. 72, No. 6, p. 778-779, 2003/06/10
  • 仮想Ge基板上歪緩和SiGeバッファ層の歪緩和機構及び転位構造の解析, 田岡紀之,江川智浩,中塚理,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 64th, No. 1, 2003
  • HRBSとHXPSによるHfO<sub>2</sub>膜の深さ方向組成・化学結合状態分析, 吉田徹史,城森慎司,高田恭孝,矢橋牧名,徳島高,杉田義博,中塚理,酒井朗,服部健雄, 応用物理学会学術講演会講演予稿集, Vol. 64th, No. 2, 2003
  • 高品質歪緩和SiGe形成技術, 酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 64th, 2003
  • ストレス誘起欠陥におけるホールトラップ-デトラップ現象のナノスケール観察, 世古明義,渡辺行彦,近藤博基,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 64th, No. 2, 2003
  • 電気的ストレスを加えたゲート酸化膜の電流検出型原子間力顕微鏡を用いた局所電流解析, 渡辺行彦,世古明義,近藤博基,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 64th, No. 2, 2003
  • ラジカル窒化法によるHfO<sub>2</sub>/SiN/Si膜の作製と電気的特性の評価, 高橋亮也,有吉恵子,坂下満男,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 64th, No. 2, 2003
  • Ni/Si(100)界面固相反応に与えるCの効果, 土屋義規,大久保和哉,中塚理,酒井朗,財満鎮明,室田淳一,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 50th, No. 2, 2003
  • Si(111)基板上のPr酸化膜のエピタキシャル成長と電気的特性, 坂下真介,坂下満男,近藤博基,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 50th, No. 2, 2003
  • Ni/Ti/Si界面固相反応におけるエピタキシャルNiSi<sub>2</sub>の低温形成, 大久保和哉,土屋義規,中塚理,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 64th, No. 2, 2003
  • Si(100)表面上のSi<sub>1-x-y</sub>Ge<sub>x</sub>C<sub>y</sub>初期成長におけるSiGe中間層の影響, 竹内正太郎,有吉聡,中塚理,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 50th, No. 1, 2003
  • 分子線蒸着法による高密度シリコンナノドットの成長, 内藤慎哉,佐竹正城,近藤博基,坂下満男,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 64th, No. 2, 2003
  • 電流検出型AFMを用いたストレス誘起リーク電流の解析, 世古明義,渡辺行彦,近藤博基,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 50th, No. 2, 2003
  • 熱処理に伴うNiSi薄膜の劣化機構, 大久保和哉,土屋義規,中塚理,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 50th, No. 2, 2003
  • HRTEM,EELS,EDXによる半導体界面ナノ構造の研究, 田中信夫,山崎順,中塚理,杉江尚,大久保和哉,酒井朗,安田幸夫,財満鎮明, 日本金属学会講演概要, Vol. 133rd, 2003
  • Ge界面層を用いた歪緩和SiGeバッファー/歪Si構造の形成及び転位構造の解析, 山本武雄,江川智浩,田岡紀之,中塚理,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 50th, No. 1, 2003
  • Ti/Si<sub>1-x</sub>Ge<sub>x</sub>/Si(100)界面反応下ナノ構造の電子エネルギー損失分光法による研究, 山崎順,田中信夫,中塚理,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 50th, No. 2, 2003
  • マイクロ波励起大気圧非平衡プラズマCVD法によるカーボンナノチューブの合成 (II), 松下明生,山川晃司,堀勝,後藤俊夫,酒井朗, 応用物理学関係連合講演会講演予稿集, Vol. 50th, No. 1, 2003
  • SiGeヘテロデバイスのための新規材料・プロセス開発, 安田幸夫,財満鎮明,酒井朗, 応用物理学関係連合講演会講演予稿集, Vol. 50th, 2003
  • HRTEM and EELS analysis of interfacial reactions in Ti/Si<SUB>1-x</SUB>Ge<SUB>x</SUB>/Si(100), J. Yamasaki,N. Tanaka,O. Nakatsuka,A. Sakai,S. Zaima,Y. Yasuda, Proceedings of Microscopy and Microanalysis 2003, p. 470-471, 2003
  • Strain Relaxation and Threading Dislocation Reduction in Heteroepitaxy. Growth of high-quality strain-relaxed Si<sub>1-x</sub>Ge<sub>x</sub> layers on Si(001) substrates., 酒井朗,財満鎮明,安田幸夫, 日本結晶成長学会誌, Vol. 29, No. 5, 2002
  • Ni/p<sup>+</sup>-Si<sub>1-x-y</sub>Ge<sub>x</sub>C<sub>y</sub>/Si(100)コンタクト構造に関する研究, 土屋義規,中塚理,池田浩也,酒井朗,財満鎮明,室田淳一,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 49th, No. 2, 2002
  • PLD法により作製したHfO<sub>2</sub>-TiO<sub>2</sub>積層複合膜の結晶学的特性と電気的特性, 本多一隆,坂下満男,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 63rd, No. 2, 2002
  • 半導体薄膜成長と電子顕微鏡評価, 酒井朗, 名古屋大学電子光学研究のあゆみ, No. 18, 2002
  • Si(100)面上CoSi<sub>2</sub>の二段階エピタキシャル成長過程に与えるAlの効果, 岡田絵美,小野田裕之,中塚理,池田浩也,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 63rd, No. 2, 2002
  • F-Nストレスにより発生した正の固定電荷の消滅の温度依存性, 渡辺行彦,光嶋康一,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 63rd, No. 2, 2002
  • NiSi/Si低抵抗コンタクトの形成機構, 中塚理,土屋義規,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 49th, No. 2, 2002
  • Si(001)表面上におけるCoSi<sub>2</sub>膜のエピタキシャル成長に及ぼす初期吸着酸素の効果, 林幸裕,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 63rd, No. 2, 2002
  • 低消費電力不揮発性Siナノドットメモリの検討, 小倉孝之,洗暢俊,柴田晃秀,足立浩一郎,小瀧浩,柿本誠三,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 49th, No. 1, 2002
  • 窒素ラジカルによるSi(100)表面の初期酸窒化過程のSTM観察, 小林靖司,高橋亮也,池田浩也,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 49th, No. 2, 2002
  • 二段階歪緩和法を用いた歪緩和SiGe層の表面平坦化, 江川智浩,山本武雄,中塚理,田岡紀之,池田浩也,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 63rd, No. 1, 2002
  • 超高真空急速加熱化学気相蒸着法を用いたTiN薄膜の初期成長過程の観察, 奥田泰行,内藤慎哉,中塚理,奥原朝之,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 63rd, No. 2, 2002
  • Ti/Si<sub>1-x</sub>Ge<sub>x</sub>/Si(100)界面反応のHRTEMによる研究, 山崎順,田中信夫,深川征也,中塚理,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 63rd, No. 2, 2002
  • PLD法により作製したHfO<sub>2</sub>膜の結晶学的特性と電気的特性に対する熱処理効果, 本多一隆,後藤覚,坂下満男,池田浩也,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 49th, No. 2, 2002
  • Growth Processes and Electrical Characteristics of Silicon Nitride Films Formed on Si(100) by Radical Nitrogen, IKEDA Hiroya,MATSUSHITA Daisuke,NAITO Shinya,OHMORI Kenji,SAKAI Akira,ZAIMA Shigeaki,YASUDA Yukio, Vol. 2001, p. 232-233, 2001/09/25
  • Structural and Electrical Characteristics of HfO_2 Films Fabricated by Pulsed Laser Deposition, IKEDA Hiroya,GOTO Satoru,HONDA Kazutaka,SAKASHITA Mitsuo,SAKAI Akira,ZAIMA Shigeaki,YASUDA Yukio, Vol. 2001, p. 498-499, 2001/09/25
  • SiGe界面反応と次世代ULSI電極への応用, 財満鎮明,池田浩也,酒井朗,安田幸夫, 電気学会電子・情報・システム部門大会講演論文集, Vol. 2001, No. Pt.2, 2001
  • Siキャップ層を用いた歪緩和SiGeエピタキシャル膜の形成, 酒井朗,杉本賢,山本武雄,岡田昌久,財満鎮明,安田幸夫, 日本学術会議材料研究連合講演会講演論文集, Vol. 45th, 2001
  • Strain and defect engineering in Ge and SiGe films on Si substrates., 酒井朗, 半導体・集積回路技術シンポジウム講演論文集, Vol. 61st, 2001
  • Ti/p<sup>+</sup>-SiGeC/Si(100)コンタクト構造における界面固相反応, 池田浩也,飛岡晃洋,土屋義規,酒井朗,財満鎮明,安田幸夫, 日本学術会議材料研究連合講演会講演論文集, Vol. 45th, 2001
  • STM/STSによるSi(100)表面高温窒化の初期窒化過程観察, 松下大介,小林靖司,大毛利健治,池田浩也,酒井朗,財満鎭明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 48th, No. 2, 2001
  • CAICISS法を用いた極薄シリコン酸化膜/Si(100)界面構造の解析, 早稲倉真樹,池田浩也,酒井朗,財満鎭明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 48th, No. 2, 2001
  • PLD法によるHfO<sub>2</sub>薄膜の作製とその電気的特性, 後藤覚,本多一隆,坂下満男,池田浩也,酒井朗,財満鎭明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 48th, No. 2, 2001
  • Si(100)表面におけるラジカル窒化膜形成過程, 松下大介,小林靖司,大毛利健治,池田浩也,酒井朗,財満鎭明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 48th, No. 2, 2001
  • Ti/p<sup>+</sup>-Si<sub>1-x-y</sub>Ge<sub>x</sub>C<sub>y</sub>/Si界面の固相反応機構に関する研究, 飛岡晃洋,土屋義規,池田浩也,酒井朗,財満鎭明,室田淳一,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 48th, No. 2, 2001
  • STMによるSiGeC分子線成長初期過程の原子スケール観察, 鳥毛裕二,岡田昌久,酒井朗,財満鎭明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 48th, No. 1, 2001
  • キャップ層熱処理法によるSi基板上SiGe層の歪緩和と表面平坦化, 杉本賢,岡田昌久,酒井朗,財満鎮明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 48th, No. 1, 2001
  • PLD法により作製したHfO<sub>2</sub>/Si界面構造の酸素分圧依存性, 本多一隆,後藤覚,坂下満男,池田浩也,酒井朗,財満鎭明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 62nd, No. 2, 2001
  • Si(100)面上SiGeC薄膜の成長挙動のSTM観察, 有吉聡,鳥毛佑二,岡田昌久,酒井朗,財満鎭明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 62nd, No. 1, 2001
  • 極薄Ge界面層を用いたSiGe歪緩和バッファー層の形成, 山本武雄,池田浩也,酒井朗,財満鎭明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 62nd, No. 1, 2001
  • 超高真空急速加熱CVD法を用いたTiN薄膜の成長, 内藤慎哉,岡田昌久,中塚理,酒井朗,財満鎭明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 62nd, No. 2, 2001
  • Ni/Si(100)界面の固相反応,及び電気的特性に関する研究, 土屋義規,中塚理,池田浩也,酒井朗,財満鎭明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 62nd, No. 2, 2001
  • 電流検出型原子間力顕微鏡によるHfO<sub>2</sub>薄膜の局所電気的特性, 後藤智和,坂下真介,池田浩也,酒井朗,財満鎭明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 62nd, No. 2, 2001
  • Si(001)面上のCoSi<sub>2</sub>固相エピタキシャル成長過程に与えるGeの効果, 小野田裕之,池田浩也,酒井朗,財満鎭明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 62nd, No. 2, 2001
  • Coarsening process of the three-dimensional Ge islands on Si(111) in solid phase epitaxy., 鈴村功,鳥毛裕二,岡田昌久,池田浩也,酒井朗,財満鎮明,安田幸夫, 日本結晶成長学会誌, Vol. 27, No. 1, 2000
  • Ti/Ge/Siコンタクト構造における界面固相反応, 山中章,中塚理,酒井朗,財満鎭明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 47th, No. 2, 2000
  • Si(001)面上のCoSi<sub>2</sub>固相エピタキシャル成長過程に与える吸着Sbの効果, 小野田裕之,林幸裕,池田浩也,酒井朗,財満鎭明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 61st, No. 2, 2000
  • PZT薄膜の結晶構造及び強誘電性に及ぼすターゲット組成と蒸着時の酸素雰囲気の効果, 後藤覚,藤田博丈,縣伸一,坂下満男,酒井朗,財満鎭明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 61st, No. 2, 2000
  • シリコン酸化膜中欠陥準位の評価, JEAN-YVES R.,車戸紀博,池田浩也,坂下満男,酒井朗,財満鎭明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 47th, No. 2, 2000
  • X線照射による極薄シリコン酸化膜の局所電子状態の変化, 後藤智和,大毛利健治,池田浩也,酒井朗,財満鎭明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 61st, No. 2, 2000
  • 高ボロン濃度Si(100)表面の初期酸化過程の実時間STM観察, 塚越雅之,後藤智和,大毛利健治,池田浩也,酒井朗,財満鎭明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 47th, No. 2, 2000
  • STM/STSによるSi(100)基板上ラジカル窒化膜の膜質評価, 松下大介,小林靖司,池田浩也,酒井朗,財満鎭明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 61st, No. 2, 2000
  • ラジカル窒素によるSi(100)表面窒化過程のSTM/STS観察, 松下大介,池田浩也,酒井朗,財満鎭明,安田幸夫, 応用物理学関係連合講演会講演予稿集, Vol. 47th, No. 2, 2000
  • 極薄Siキャップ層を用いたSiGe歪緩和バッファー層形成, 杉本賢,岡田昌久,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 61st, No. 1, 2000
  • Dislocation propagation in GaN films formed by epitaxial lateral overgrowth, Akira Sakai,Haruo Sunakawa,Akitaka Kimura,Akira Usui, Journal of Electron Microscopy, Oxford University Press, Vol. 49, No. 2, p. 323-330, 2000
  • Self-organized propagation of dislocations in GaN films during epitaxial lateral overgrowth, A Sakai,H Sunakawa,A Kimura,A Usui, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 76, No. 4, p. 442-444, 2000/01
  • Dislocation propagation in GaN films formed by epitaxial lateral overgrowth, Akira Sakai,Haruo Sunakawa,Akitaka Kimura,Akira Usui, Journal of Electron Microscopy, Oxford University Press, Vol. 49, No. 2, p. 323-330, 2000
  • Self-organized propagation of dislocations in GaN films during epitaxial lateral overgrowth, A Sakai,H Sunakawa,A Kimura,A Usui, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 76, No. 4, p. 442-444, 2000/01
  • 高濃度イオン注入におけるCo/Si界面のコンタクト抵抗に関する研究, 中塚理,芦沢哲夫,仲井健理,飛岡晃洋,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 60th, No. 2, 1999
  • 極薄シリコン酸化膜中のトラップ生成に関する原子スケールでの評価, 大毛利健治,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 60th, No. 2, 1999
  • Pt/PZT/Pt構造キャパシタにおけるPZT薄膜の結晶性及び強誘電性の評価, 藤田博丈,今出光則,坂下満男,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 60th, No. 2, 1999
  • 集束イオンビーム装置を用いた微細MOSFET素子の作製及びクーロンブロッケード現象, 泉川健太,桜井雅和,加賀和孝,近藤博基,馬場伸一,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 60th, No. 1, 1999
  • 固相エピタキシーによるSi(111)表面上のGe成長島の形成過程, 鈴村功,鳥毛裕二,岡田昌久,池田浩也,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 60th, No. 1, 1999
  • Si(100)表面の初期酸化過程のCAICISS法による研究, 早稲倉真樹,東誠人,池田浩也,酒井朗,財満鎮明,安田幸夫, 応用物理学会学術講演会講演予稿集, Vol. 60th, No. 2, 1999
  • Reduction of dislocation density of HVPE-grown GaN on sapphire substrate by using facet-initiated epitaxial lateral overgrowth technique., 碓井彰,酒井朗,砂川晴夫,黒田尚孝,水田正志, 日本結晶成長学会誌, Vol. 26, No. 2, 1999
  • Dislocation structure in laterally overgrown GaN films, SAKAI Akira, kenbikyo, The Japanese Society of Microscopy, Vol. 34, No. 3, p. 197-199, 1999
  • GaN選択横方向成長による転位密度の低減, 応用物理, Vol. 68, No. 7, p. 774-779, 1999
  • Transmission electron microscopy of defects in GaN films formed by epitaxial lateral overgrowth, A Sakai,H Sunakawa,A Usui, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 73, No. 4, p. 481-483, 1998/07
  • Transmission electron microscopy of defects in GaN films formed by epitaxial lateral overgrowth, A Sakai,H Sunakawa,A Usui, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 73, No. 4, p. 481-483, 1998/07
  • Reduction of threading dislocation density in GaN films by epitaxial lateral overgrowth., 酒井朗,砂川晴夫,木村明隆, 応用物理学会学術講演会講演予稿集, Vol. 59th, 1998
  • Imaging of local lattice strain by Fourier-transforming TEM image., 井手隆,酒井朗,清水啓次, 応用物理学会学術講演会講演予稿集, Vol. 59th, No. 1, 1998
  • Si(001)表面上のGeエピタキシャル成長膜の格子歪み, 井手隆,酒井朗,清水啓次, 日本物理学会講演概要集, Vol. 53, No. 2, 1998
  • Defect structure of GaN films grown by selective epitaxy., 酒井朗,砂川晴夫,木村明隆,碓井彰, 応用物理学関係連合講演会講演予稿集, Vol. 45th, No. 1, 1998
  • Residual strain in GaN thick films grown by HVPE., 山口敦史,小林憲司,酒井朗,望月康則,砂川晴夫,碓井彰, 応用物理学関係連合講演会講演予稿集, Vol. 45th, No. 1, 1998
  • GaN with Low Defect Density Grown by Hybride Vapor Phase Epitaxy., 碓井彰,砂川晴夫,木村明隆,酒井朗, 応用物理学関係連合講演会講演予稿集, Vol. 45th, 1998
  • Control of Near-lnterface Structure during Hetero-Epitaxial Growth. Growth and Strain-Relaxation Mechanisms of Ge on Si (001)., 酒井朗, 日本結晶成長学会誌, Vol. 25, No. 1, p. 17-28, 1998
  • Growth and strain-relaxation mechanisms of Ge on Si(001), Vol. 25, No. 1, p. 17-28, 1998
  • Growth of strain-relaxed Ge films on Si(001) surfaces, A Sakai,T Tatsumi,K Aoyama, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 71, No. 24, p. 3510-3512, 1997/12
  • Growth of strain-relaxed Ge films on Si(001) surfaces, A Sakai,T Tatsumi,K Aoyama, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 71, No. 24, p. 3510-3512, 1997/12
  • Defect structure in selectively grown GaN films with low threading dislocation density, A Sakai,H Sunakawa,A Usui, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 71, No. 16, p. 2259-2261, 1997/10
  • Defect structure in selectively grown GaN films with low threading dislocation density, A Sakai,H Sunakawa,A Usui, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 71, No. 16, p. 2259-2261, 1997/10
  • Selective Growth of GaN with Lateral Growth by Metalorganic Vapor Phase Epitaxy., 木村明隆,笹岡千秋,酒井朗,碓井彰, 応用物理学会学術講演会講演予稿集, Vol. 58th, No. 1, 1997
  • Thick GaN crystal growth with low defect density by hydride vapor phase epitaxy., 砂川晴夫,笹岡千秋,木村明隆,酒井朗,山口敦史,碓井彰, 応用物理学会学術講演会講演予稿集, Vol. 58th, No. 1, 1997
  • Growth of InGaN/GaN MQW structure on VPE grown thick GaN layer., 笹岡千秋,木村明隆,砂川晴夫,酒井朗,仁道正明,碓井彰, 応用物理学関係連合講演会講演予稿集, Vol. 44th, No. 1, 1997
  • In situ scanning electron microscopy of Ge growth on Si(111) surface., 酒井朗, 日本物理学会講演概要集(分科会), Vol. 1996, No. Autumn Pt 2, 1996
  • X-ray diffraction measurements of GaN films on GaAs(100) substrates by 4-circle diffractometer., 山口敦史,真子隆志,酒井朗,砂川晴夫,木村明隆,仁道正明,碓井彰, 応用物理学関係連合講演会講演予稿集, Vol. 43rd, No. 1, 1996
  • Structural characterization of GaN films on GaAs substrates grown by HVPE., 山口敦史,酒井朗,真子隆志,砂川晴夫,碓井彰, 応用物理学会学術講演会講演予稿集, Vol. 57th, 1996
  • Microstructure of GaN films on GaAs(100) substrates grown by hydride VPE., 酒井朗,木村明隆,砂川晴夫,碓井彰, 応用物理学関係連合講演会講演予稿集, Vol. 43rd, No. 1, 1996
  • Dynamic SEM observation of crystallization of a-Ge film with clean surfaces., 酒井朗, 応用物理学会学術講演会講演予稿集, Vol. 56th, No. 1, 1995
  • Si/Ge interface formation mechanism on Ge(001)2*1:H., 五十嵐信行,押山淳,酒井朗,辰巳徹, 応用物理学関係連合講演会講演予稿集, Vol. 42nd, No. Pt 1, 1995
  • Effect of atomic hydrogen on Si growth on SiO2 layers., 酒井朗,忍田真希子,辰巳徹, 応用物理学会学術講演会講演予稿集, Vol. 55th, No. 2, 1994
  • Si(001)面上のGeのStranski-Krastanov成長におけるアイランド化機構, 酒井朗,辰巳徹, 応用物理学会結晶工学分科会結晶工学シンポジウム, Vol. 10th, 1994
  • GE GROWTH ON SI USING ATOMIC-HYDROGEN AS A SURFACTANT, A SAKAI,T TATSUMI, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 64, No. 1, p. 52-54, 1994/01
  • GE GROWTH ON SI USING ATOMIC-HYDROGEN AS A SURFACTANT, A SAKAI,T TATSUMI, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 64, No. 1, p. 52-54, 1994/01
  • DEFECT-MEDIATED ISLAND FORMATION IN STRANSKI-KRASTANOV GROWTH OF GE ON SI(001), A SAKAI,T TATSUMI, PHYSICAL REVIEW LETTERS, AMERICAN PHYSICAL SOC, Vol. 71, No. 24, p. 4007-4010, 1993/12
  • GROWTH-KINETICS OF SI HEMISPHERICAL GRAINS ON CLEAN AMORPHOUS-SI SURFACES, A SAKAI,T TATSUMI,K ISHIDA, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS, AMER INST PHYSICS, Vol. 11, No. 6, p. 2950-2953, 1993/11
  • GROWTH-KINETICS OF SI HEMISPHERICAL GRAINS ON CLEAN AMORPHOUS-SI SURFACES, A SAKAI,T TATSUMI,K ISHIDA, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS, AMER INST PHYSICS, Vol. 11, No. 6, p. 2950-2953, 1993/11
  • Defect and island formation in Stranski-Krastanov growth of Ge on Si(001) surfaces., 酒井朗,辰巳徹, 日本物理学会講演概要集(分科会), Vol. 1993, No. Autumn Pt 2, 1993
  • Ge growth on Si using atomic hydrogen as a surfactant., 酒井朗,辰巳徹, 応用物理学会学術講演会講演予稿集, Vol. 54th, No. 1, 1993
  • Defect-mediated island formation in Stranski-Krastanov growth of Ge on Si(001), Physical Review Letters, Vol. 71, No. 24, p. 4007-4010, 1993
  • NOVEL SEEDING METHOD FOR THE GROWTH OF POLYCRYSTALLINE SI FILMS WITH HEMISPHERICAL GRAINS, A SAKAI,T TATSUMI, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 61, No. 2, p. 159-161, 1992/07
  • NOVEL SEEDING METHOD FOR THE GROWTH OF POLYCRYSTALLINE SI FILMS WITH HEMISPHERICAL GRAINS, A SAKAI,T TATSUMI, APPLIED PHYSICS LETTERS, AMER INST PHYSICS, Vol. 61, No. 2, p. 159-161, 1992/07
  • Controll of crystallization of Si deposited on Sb-adsorbed amorphous Si surface., 酒井朗,辰巳徹,石田宏一, 応用物理学会学術講演会講演予稿集, Vol. 53rd, No. 2, 1992
  • Crystallization of amorphous silicon films with clean surfaces., 酒井朗,辰巳徹,新野多恵子,小野春彦,石田宏一, 半導体・集積回路技術シンポジウム講演論文集, JAPAN J APPLIED PHYSICS, Vol. 40th, No. 6A, p. L941-L943, 1991/06
  • CRYSTALLIZATION OF AMORPHOUS-SILICON WITH CLEAN SURFACES, A SAKAI,H ONO,K ISHIDA,T NIINO,T TATSUMI, JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS, JAPAN J APPLIED PHYSICS, Vol. 30, No. 6A, p. L941-L943, 1991/06
  • Hemispherical grained Si growth using Si<sub>2</sub>H<sub>6</sub> pre-deposition., 新野多恵子,辰巳徹,渡辺啓仁,酒井朗, 応用物理学会学術講演会講演予稿集, Vol. 52nd, No. 2, 1991
  • Formation of crystalline nuclei on clean a-Si surface by Si molecular beam deposition., 酒井朗,新野多恵子,辰巳徹, 応用物理学会学術講演会講演予稿集, Vol. 52nd, No. 2, 1991
  • Rough Surface Poly-Si Growth by Solid Phase Crystallization in UHV., 新野多恵子,辰巳徹,酒井朗, 応用物理学関係連合講演会講演予稿集, Vol. 38th, No. Pt 2, 1991
  • HRTEM observation of a-Si/Si(111)7×7 interface structure and its modification during solid-phase epitaxial growth., 酒井朗, 日本物理学会講演概要集(分科会), Vol. 1991, No. Spring Pt 2, 1991
  • Photoluminescence in Si<sub>1-x</sub>Ge<sub>x</sub>/Si(100) grown by molecular beam epitaxy., 寺島浩一,田島道夫,酒井朗,辰巳徹, 応用物理学会学術講演会講演予稿集, Vol. 51st, No. 1, 1990
  • Hrtem study on structures of vicinal AlAs/GaAs interfaces grown by MBE., 五十嵐信行,本久順一,酒井朗,石田宏一,榊裕之, 応用物理学会学術講演会講演予稿集, Vol. 51st, No. 1, 1990
  • Formation of poly-crystalline Si layer by ultra high vacuum solid phase growth., 酒井朗,辰巳徹,新野多恵子,小野春彦,石田宏一, 応用物理学会学術講演会講演予稿集, Vol. 51st, No. 2, 1990
  • Modification of a 7×7 superstructure buried at a-Si/Si(111) interface during solid-phase epitaxial growth., 酒井朗,辰巳徹,小野春彦,石田宏一, 日本物理学会秋の分科会講演予稿集, Vol. 1990, No. 2, 1990
  • Device application and growth mechanism of hemispherical-grained Si-film., 渡辺啓仁,青砥なほみ,吉川公麿,足立三郎,井川英治,酒井朗, 電子情報通信学会技術研究報告, Vol. 90, No. 349(SDM90 159-175), 1990
  • 6p-W-1 Direct Observation of a 7x7 Superstructure Buried at a-Si/Si(111) Interface, Sakai Akira,Tatsumi Toru,Ishida Koichi, The Physical Society of Japan (JPS), Vol. 1989, No. 2, p. 485-485, 1989/09/12

Industrial Property Rights

  • シリコンウェーハ及びその製造方法, 須藤 治生,荒木 浩司,泉妻 宏治,竹内 正太郎,中村 芳明,酒井 朗, 特許第6333182号, 特願2015-000046, 出願日:2015/01/05, 登録日:2018/05/11
  • 多層膜構造体の形成方法, 中塚 理,酒井 朗,小川正毅,財満 鎭明,近藤 博基,湯川 勝規,水谷 卓也, 特許第5553135号, 特願2008-122891, 出願日:2008/05/09, 登録日:2014/06/06
  • 熱電材料及びその製造方法並びにそれを用いた熱電変換モジュール, 中村 芳明,五十川 雅之,上田 智広,吉川 純,酒井 朗,細野 秀雄, 特許第5424436号, 特願2013-543430, 出願日:2013/05/15, 登録日:2013/12/06
  • ジャーマナイド薄膜、ジャーマナイド薄膜の作成方法、ジャーマナイド薄膜を備えたゲルマニウム構造体, 中塚 理,酒井 朗,鈴木 敦之,小川 正毅,財満 鎭明, 特許第5243762号, 特願2007-247138, 出願日:2007/09/25, 登録日:2013/04/12
  • 伸張歪ゲルマニウム薄膜の作製方法、伸張歪ゲルマニウム薄膜、及び多層膜構造体, 竹内 正太郎,酒井 朗,中塚 理,小川 正毅,財満 鎭明, 特許第5238189号, 特願2007-132189, 出願日:2007/05/17, 登録日:2013/04/05
  • 歪み緩和ゲルマニウム膜の製造方法並びに多層膜構造体, 酒井 朗,湯川 勝規,中塚 理,小川 正毅,財満 鎭明, 特許第5156950号, 特願2007-549211, 出願日:2006/12/06, 登録日:2012/12/21
  • エピタキシャル成長用基材の製造方法, 酒井 朗,田岡 紀之,中塚 理,財満 鎭明,安田 幸夫, 特許第4296276号, 特願2004-207782, 出願日:2004/07/14, 登録日:2009/04/24
  • ニッケルシリサイド膜の作製方法, 財満 鎭明,酒井 朗,中塚 理,安田 幸夫, 特許第4009719号, 特願2003-042270, 出願日:2003/02/20, 登録日:2007/09/14
  • シリサイド膜の作製方法, 中塚 理,酒井 朗,財満 鎭明,安田 幸夫,大久保 和哉,土屋 義規, 特許第3879003号, 特願2004-051790, 出願日:2004/02/26, 登録日:2006/11/17
  • 細線構造の作製方法、多層膜構造体、及び多層膜中間構造体, 酒井 朗,財満 鎭明,安田 幸夫,中塚 理, 特許第3878997号, 特願2003-042275, 出願日:2003/02/20, 登録日:2006/11/17
  • 素子電極用のニッケルシリコン系薄膜の作製方法、及び素子電極用の多層膜構造, 安田 幸夫,財満 鎭明,酒井 朗,中塚 理,土屋 義規, 特許第3876307号, 特願2002-130561, 出願日:2002/05/02, 登録日:2006/11/10
  • シリコンゲルマニウム膜の作製方法、エピタキシャル成長用基板、多層膜構造体及びヘテロ接合電界効果トランジスタ, 酒井 朗,中塚 理,財満 鎭明,安田 幸夫, 特許第3851950号, 特願2002-335165, 出願日:2002/11/19, 登録日:2006/09/15
  • 高誘電率金属酸化物膜の作製方法、高誘電率金属酸化物膜、多層膜構造体、ゲート絶縁膜、及び半導体素子, 酒井 朗,安田 幸夫,財満 鎭明,坂下 満男,近藤 博基,坂下 真介, 特許第3831764号, 特願2003-172182, 出願日:2003/06/17, 登録日:2006/07/28
  • ニッケルシリコン系薄膜、ニッケルシリコン系多層膜構造及びニッケルシリコン系薄膜の作製方法, 財満 鎭明,安田 幸夫,酒井 朗,中塚 理,土屋 義規, 特許第3733424号, 特願2002-198369, 出願日:2002/07/08, 登録日:2005/10/28
  • コバルトシリサイド膜の作製方法、コバルトシリサイド膜、及びコバルトシリサイド膜作製用の多層膜中間構造体, 財満 鎭明,安田 幸夫,酒井 朗,中塚 理, 特許第3700004号, 特願2003-042521, 出願日:2003/02/20, 登録日:2005/07/22
  • 半導体装置製造方法, 安田 幸夫,財満 鎭明,杉本 賢,酒井 朗, 特許第3488914号, 特願2001-011009, 出願日:2001/01/19, 登録日:2003/11/07
  • シリコン・ゲルマニウム・カーボン三元混晶膜の作製方法及びシリコン・ゲルマニウム・カーボン三元混晶膜, 安田 幸夫,財満 鎭明,鳥毛 裕二,酒井 朗, 特許第3451325号, 特願2001-087297, 出願日:2001/03/26, 登録日:2003/07/18
  • 半導体混晶膜の形成方法, 安田 幸夫,財満 鎭明,酒井 朗,山中 章,中塚 理, 特許第3378912号, 特願2000-172876, 出願日:2000/06/09, 登録日:2002/12/13
  • 多結晶シリコン膜の形成方法, 酒井 朗, 特許第3186077号, 特願平3-067657, 出願日:1991/03/08, 登録日:2001/05/11
  • 細線構造の形成方法, 酒井 朗, 特許第3027947号, 特願平9-011341, 出願日:1997/01/24, 登録日:2000/02/04
  • 半導体装置の製造方法, 辰巳 徹,酒井 朗,五十嵐 信行, 特許第3024584号, 特願平9-070933, 出願日:1997/03/10, 登録日:2000/01/21
  • アモルファスシリコン膜の形成方法, 酒井 朗, 特許第2928071号, 特願平5-323583, 出願日:1993/12/22, 登録日:1999/05/14
  • アモルファスシリコン膜の形成方法, 酒井 朗, 特許第2861683号, 特願平4-308108, 出願日:1992/10/22, 登録日:1998/12/11
  • 半導体結晶の作成方法, 酒井 朗, 特許第2705524号, 特願平5-183781, 出願日:1993/07/26, 登録日:1997/10/09
  • 多結晶シリコン膜の形成方法, 酒井 朗, 特許第2679433号, 特願平3-073693, 出願日:1991/03/14, 登録日:1997/08/01
  • 多結晶シリコン膜の形成方法, 酒井 朗, 特許第2666572号, 特願平3-008200, 出願日:1991/01/28, 登録日:1997/06/27
  • 高誘電率膜キャパシタ, 酒井 朗, 特許第2638579号, 特願平7-165539, 出願日:1995/06/30, 登録日:1997/04/25
  • 多結晶シリコン膜の形成方法, 酒井 朗, 特許第2590733号, 特願平6-102411, 出願日:1994/05/17, 登録日:1996/12/19
  • 半導体装置の製造方法, 辰巳 徹,酒井 朗, 特許第2508948号, 特願平4-154896, 出願日:1992/06/15, 登録日:1996/04/16
  • 熱電材料及びその製造方法並びにそれを用いた熱電変換モジュール, 中村 芳明,五十川 雅之,上田 智広,吉川 純,酒井 朗,細野 秀雄, WO2013-179897, JP2013063580, 出願日:2013/05/15
  • 歪み緩和ゲルマニウム膜及びその製造方法並びに多層膜構造体, 酒井 朗,湯川 勝規,中塚 理,小川 正毅,財満 鎭明, WO2007-066811, JP2006324791, 出願日:2006/12/06
  • メモリスタ、それを備えた半導体素子およびメモリスタを備えたアレイシステム, 林 侑介,藤平 哲也,酒井 朗, 特願2020-214807, 出願日:2020/12/24
  • GeOI基板の製造方法, 豊田 英二,磯貝 宏道,吉川 純,中村 芳明,酒井 朗,吉武 修, 特願2009-292346, 出願日:2009/12/24
  • カーボンナノチューブ形成用基材及びその製造方法並びにカーボンナノチューブ, 酒井 朗,中塚 理,財満 鎭明,種田 智,小川 正毅, 特願2005-199338, 出願日:2005/07/07
  • 半導体素子用基材及びその製造方法, 近藤 博基,財満 鎭明,小川 正毅,酒井 朗,坂下 満男,内藤 慎哉,上山 知紀,安田 幸夫, 特願2005-056482, 出願日:2005/03/01
  • 絶縁膜を有した半導体装置の製造方法及び半導体装置。, 酒井 朗,財満 鎭明,安田 幸夫,坂下 満男,高橋 亮也, 特願2004-214954, 出願日:2004/07/22
  • シリコンナノ結晶の作製方法、シリコンナノ結晶、フローティングゲート型メモリキャパシタ構造の作製方法、及びフローティングゲート型メモリキャパシタ構造, 近藤 博基,安田 幸夫,財満 鎭明,酒井 朗,坂下 満男,内藤 慎哉,佐竹 正城, 特願2003-363411, 出願日:2003/10/23
  • III族元素窒化物半導体ウェーハの製造方法, 碓井 彰,松本 良成,酒井 朗,砂川 晴夫,水田 正志, 特願2003-109345, 出願日:1999/06/22
  • MOSデバイス及びその製造方法, 安田 幸夫,財満 鎭明,酒井 朗,池田 浩也,山中 章, 特願2000-181828, 出願日:2000/06/16
  • GaN結晶膜、III族元素窒化物半導体ウェーハ及びその製造方法, 碓井 彰,松本 良成,酒井 朗,砂川 晴夫,水田 正志, 特願平11-211044, 出願日:1999/06/22
  • 多結晶シリコン膜の形成方法, 酒井 朗, 特願平6-102411, 出願日:1994/05/17
  • アモルファスシリコン膜の形成方法, 酒井 朗, 特願平5-323583, 出願日:1993/12/22
  • シリコン薄膜作製方法, 酒井 朗, 特願平4-316618, 出願日:1992/10/30

Works

  • GaN結晶膜およびGaN系半導体素子(特許), 1998 -
  • 半導体結晶の作製方法(特許), 1996 -
  • (]G0048[)誘電率膜キャパシタ(特許), 1995 -
  • アモルファスシリコン膜の形成方法(特許), 1993 -
  • 半導体装置の製造方法(特許), 1992 -

Awards

  • 第49回(平成14年度)大河内賞記念賞, 辰巳徹,渡辺啓仁,酒井朗,岡村健司,三宅秀治, 財団法人大河内記念会, 2003/03
  • 応用物理学会賞A論文賞, 1999