顔写真

顔写真

三浦 典之
Miura Noriyuki
三浦 典之
Miura Noriyuki
情報科学研究科 情報システム工学専攻,教授

学歴 3

  1. 慶應義塾大学大学院 理工学研究科 総合デザイン工学専攻・後期博士課程

    2005年4月 ~ 2007年3月

  2. 慶應義塾大学大学院 理工学研究科 総合デザイン工学専攻・前期博士課程

    2003年4月 ~ 2005年3月

  3. 慶應義塾大学 理工学部 電子工学科

    1999年3月 ~ 2003年4月

委員歴 23

  1. International Solid-State Circtuis Conference Technical Program Committee Member (Technology Directions Subcommittee) 学協会

    2022年 ~ 継続中

  2. IEEE Symposium on VLSI Circuits Technical Program Committee Member 学協会

    2014年 ~ 継続中

  3. IEEE Solid-State Circuits Society (SSCS) Distinguished Lecturer (DL)

    2021年1月 ~ 2022年12月

  4. IEEE Asian Solid-State Circuits Conference (A-SSCC) Technical Program Committee Member 学協会

    2013年 ~ 2021年

  5. IEEE Asian Solid-State Circuits Conference (A-SSCC) Technical Program Committee Vice Chair 学協会

    2015年 ~

  6. International Solid-State Circuits Conference Student Research Preview Member 学協会

    2022年 ~ 継続中

  7. 電子情報通信学会 ハードウェアセキュリティ研究専門委員会(HWS) 専門委員

    2019年 ~ 継続中

  8. IEEE Symposium on VLSI Circuits Virtual/Hybrid/Local Arrangement Chair

    2021年 ~ 2022年

  9. IEEE Symposium on VLSI Circuits Short Course Chair 学協会

    2020年 ~ 2021年

  10. IEEE Symposium on VLSI Circuits Publication Officer 学協会

    2018年 ~ 2019年

  11. IEEE Asian Solid-State Circuits Conferece (A-SSCC) Education Committee Member 学協会

    2013年 ~ 2019年

  12. IEEE Solid-State Circuits Society (SSCS) Kansai Chapter Secretary 学協会

    2017年 ~ 2018年

  13. 電子情報通信学会 集積回路研究専門委員会(ICD) 専門委員 学協会

    2012年 ~ 2018年

  14. 電子情報通信学会 ハードウェアセキュリティ時限研究専門委員会 幹事補佐 学協会

    2016年 ~ 2017年

  15. Asia and South Pacific Design Automation Conference (ASP-DAC) University Design Contest Member 学協会

    2014年 ~ 2017年

  16. IEEE Symposium on VLSI Design, Automation and Test (VLSI-DAT) Technical Progaram Committee Member 学協会

    2014年 ~ 2016年

  17. Asia and South Pacific Design Automation Conference (ASP-DAC) Designer's Forum Organizer

    2021年 ~

  18. IEEE Asian Solid-State Circuits Conference (A-SSCC) Panel Discussion Organizer and Moderator 学協会

    2019年 ~

  19. 電子情報通信学会 ハードウェアセキュリティ研究専門委員会(HWS) 幹事 学協会

    2018年 ~

  20. Asia and South Pacific Design Automation Conference (ASP-DAC) University Design Contest Co-Chair 学協会

    2017年 ~

  21. IEEE Symposium on VLSI Circuits Local Arrangement Officer 学協会

    2017年 ~

  22. IEEE Journal of Solid-State Circuits (JSSC) Guest Editor 学協会

    2016年 ~

  23. Asia and South Pacific Design Automation Conference (ASP-DAC) University Design Contest Co-Chair 学協会

    2015年 ~

研究内容・専門分野 1

  1. 情報通信 / 計算機システム /

受賞 14

  1. IEEE Solid-State Circuits Society (SSCS) Distinguished Lecturer (DL) Terms 2021-2022

    2023年1月

  2. "丸文研究奨励賞,"

    三浦典之 丸文財団, 2019年3月

  3. "末松安晴賞,"

    三浦典之 電子情報通信学会, 2017年6月

  4. "Best Paper Award,"

    N. Homma, Y. Hayashi, N. Miura, D. Fujimoto, D. Tanaka, M. Nagata, T. Aoki IACR CHES 2014, 2014年9月

  5. "Top ISSCC Paper Contributors 2004-2013,"

    三浦典之 IEEE ISSCC, 2013年2月

  6. Outstanding Design Award

    三浦典之, 黒田忠広 ASP-DAC 2007 University Design Contest Committee 2007年1月

  7. "IP優秀賞,"

    三浦典之, 黒田忠広 2006 IPアワード運営委員会, 2006年5月

  8. "神戸大学優秀若手研究者賞 学長賞,"

    三浦典之 神戸大学, 2019年8月

  9. "優秀研究活動賞,"

    三浦典之 慶應義塾大学, 2007年3月

  10. "Best Design Award,"

    三浦典之, 黒田忠広 IP Based SoC Design Conference, 2006年12月

  11. "IEEE若手奨励賞,"

    三浦典之, 黒田忠広 IEEE Solid-State Circuits Society Japan Chapter, 2006年9月

  12. "Excellent Paper Award,"

    三浦典之, 黒田忠広 International Ph.D. Student Workshop on SoC, 2006年7月

  13. "IEEE システムLSI 賞,"

    三浦典之, 黒田忠広 IEEE Solid-State Circuits Society Japan Chapter, 2005年11月

  14. "慶応工学会賞,"

    三浦典之 慶應義塾大学, 2003年3月

論文 254

  1. A 3.5×3.5mm2 1.47mW/ch 16-Channel MSS-CMOS Heterogeneous Multi-Modal-Gas-Sensor Chip Stack

    Kotaro Naruse, Naru Kato, Takuma Matsumori, Jun Shlomi, Yoshihiro Midoh, Tetsuya Hirose, Noriyuki Miura

    International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers p. 348-349 2025年2月 研究論文(国際会議プロシーディングス)

  2. A Self-Programming PUF Harvesting the High-Energy Plasma During Fabrication

    Kotaro Naruse, Takayuki Ueda, Jun Shiomi, Yoshihiro Midoh, Noriyuki Miura

    International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers p. 218-219 2023年2月 研究論文(国際会議プロシーディングス)

    出版者・発行元:
  3. A Triturated Sensing System

    Noriyuki Miura, Kotaro Naruse, Jun Shiomi, Yoshihiro Midoh, Tetsuya. Hirose, Takaaki Okidono, Takuji Miki, Makoto Nagata

    International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers p. 216-217 2023年2月 研究論文(国際会議プロシーディングス)

  4. A 286 F2/Cell Distributed Bulk-Current Sensor and Secure Flush Code Eraser Against Laser Fault Injection Attack on Cryptographic Processor,

    MATSUDA Kohei, FUJII Tatsuya, SHOJI Natsu, SUGAWARA Takeshi, SAKIYAMA Kazuo, HAYASHI Yu-ichi, NAGATA Makoto, MIURA Noriyuki

    IEEE Journal of Solid-State Circuits Vol. 53 No. 11 p. 3174-3182 2018年9月 研究論文(学術雑誌)

  5. Chip-Package-Board Interactive PUF Utilizing Coupled Chaos Oscillators with Inductor,

    MIURA Noriyuki, TAKAHASHI Masanori, NAGATOMO Kazuki, NAGATA Makoto

    IEEE Journal of Solid-State Circuits Vol. 53 No. 10 p. 2889-2897 2018年7月 研究論文(学術雑誌)

  6. A 286F²/Cell Distributed Bulk-Current Sensor and Secure Flush Code Eraser Against Laser Fault Injection Attack

    MATSUDA Kohei, FUJII Tatsuya, SHOJI Natsu, SUGAWARA Takeshi, SAKIYAMA Kazuo, HAYASHI Yuichi, NAGATA Makoto, MIURA Noriyuki

    Dig. Tech. Papers, 2018 IEEE International Solid-State Circuits Conference (ISSCC) Vol. 61 p. 352-354 2018年3月8日 研究論文(国際会議プロシーディングス)

    出版者・発行元:
  7. A Permanent Digital Archive System Based on 4F(2) X-Point Multi-Layer Metal Nano-Dot Structure

    Noriyuki Miura, Shijia Liu, Tsuyoshi Watanabe, Shigeki Imai, Makoto Nagata

    2017 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE (ISSCC) p. 270-270 2017年 研究論文(国際会議プロシーディングス)

  8. A 1 mm Pitch 80 x 80 Channel 322 Hz Frame-Rate Multitouch Distribution Sensor With Two-Step Dual-Mode Capacitance Scan

    Noriyuki Miura, Shiro Dosho, Hiroyuki Tezuka, Takuji Miki, Daisuke Fujimoto, Takuya Kiriyama, Makoto Nagata

    IEEE JOURNAL OF SOLID-STATE CIRCUITS Vol. 50 No. 11 p. 2741-2749 2015年11月 研究論文(学術雑誌)

  9. EM Attack Sensor: Concept, Circuit, and Design-Automation Methodology

    Noriyuki Miura, Daisuke Fujimoto, Makoto Nagata, Naofumi Homma, Yuichi Hayashi, Takafumi Aoki

    2015 52ND ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC) Vol. #69.2 p. 1-6 2015年 研究論文(国際会議プロシーディングス)

  10. A 1mm-Pitch 80×80-Channel 322Hz-Frame-Rate Touch Sensor with Two-Step Dual-Mode Capacitance Scan

    MIURA Noriyuki, DOSHO Shiro, TAKAYA Satoshi, FUJIMOTO Daisuke, KIRIYAMA Takuya, TEZUKA Hiroyuki, MIKI Takuji, YANAGAWA Hiroto, NAGATA Makoto

    2014 IEEE Intl. Solid-State Circuits Conference (ISSCC) Vol. 57 p. 216-+ 2014年 研究論文(国際会議プロシーディングス)

  11. A SCALABLE 3D HETEROGENEOUS MULTICORE WITH AN INDUCTIVE THRUCHIP INTERFACE

    Noriyuki Miura, Yusuke Koizumi, Yasuhiro Take, Hiroki Matsutani, Tadahiro Kuroda, Hideharu Amano, Ryuichi Sakamoto, Mitaro Namiki, Kimiyoshi Usami, Masaaki Kondo, Hiroshi Nakamura

    IEEE MICRO Vol. 33 No. 6 p. 6-15 2013年11月 研究論文(学術雑誌)

  12. A 6nW Inductive-Coupling Wake-Up Transceiver for Reducing Standby Power of Non-Contact Memory Card by 500x

    Noriyuki Miura, Mitsuko Saito, Masao Taguchi, Tadahiro Kuroda

    2013 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE DIGEST OF TECHNICAL PAPERS (ISSCC) Vol. 56 p. 214-+ 2013年 研究論文(国際会議プロシーディングス)

  13. A 0.55 V 10 fJ/bit Inductive-Coupling Data Link and 0.7 V 135 fJ/Cycle Clock Link With Dual-Coil Transmission Scheme

    Noriyuki Miura, Tsunaaki Shidei, Yuxiang Yuan, Shusuke Kawai, Keita Takatsu, Yuji Kiyota, Yuichi Asano, Tadahiro Kuroda

    IEEE JOURNAL OF SOLID-STATE CIRCUITS Vol. 46 No. 4 p. 965-973 2011年4月 研究論文(学術雑誌)

  14. A 2.7Gb/s/mm2 0.9pJ/b/chip 1coil/channel ThruChip interface with coupled-resonator-based CDR for NAND flash memory stacking

    Noriyuki Miura, Yasuhiro Take, Mitsuko Saito, Yoichi Yoshida, Tadahiro Kuroda

    Digest of Technical Papers - IEEE International Solid-State Circuits Conference p. 490-491 2011年 研究論文(国際会議プロシーディングス)

  15. An 8Tb/s 1pJ/b 0.8mm2/Tb/s QDR inductive-coupling interface between 65nm CMOS GPU and 0.1μm DRAM

    Noriyuki Miura, Kazutaka Kasuga, Mitsuko Saito, Tadahiro Kuroda

    Digest of Technical Papers - IEEE International Solid-State Circuits Conference Vol. 53 p. 436-437 2010年 研究論文(国際会議プロシーディングス)

  16. An 11Gb/s inductive-coupling link with burst transmission

    Noriyuki Miura, Yoshinori Kohama, Yasufumi Sugimori, Hiroki Ishikuro, Takayasu Sakurai, Tadahiro Kuroda

    Digest of Technical Papers - IEEE International Solid-State Circuits Conference Vol. 51 p. 285-614 2008年 研究論文(国際会議プロシーディングス)

  17. A 0.14pJ/b inductive-coupling inter-chip data transceiver with digitally-controlled precise pulse shaping

    Noriyuki Miura, Hiroki Ishikuro, Takayasu Sakurai, Tadahiro Kuroda

    Digest of Technical Papers - IEEE International Solid-State Circuits Conference p. 355-608 2007年 研究論文(国際会議プロシーディングス)

  18. A 1Tb/s 3W Inductive-Coupling Transceiver for Inter-Chip Clock and Data Link

    N. Miura, D. Mizoguchi, M. Inoue, K. Niitsu, Y. Nakagawa, M. Tago, M. FUkaishi, T. Sakurai, T. Kuroda

    IEEE International Solid State Circuits Conference Digest of Technical Papers p. 424-425 2006年2月 研究論文(国際会議プロシーディングス)

  19. A 195-Gb/s 1.2-W inductive inter-chip wireless superconnect with transmit power control scheme for 3-D-stacked system in a package

    N Miura, D Mizoguchi, M Inoue, T Sakurai, T Kuroda

    IEEE JOURNAL OF SOLID-STATE CIRCUITS Vol. 41 No. 1 p. 23-34 2006年1月 研究論文(学術雑誌)

  20. Analysis and design of inductive coupling and transceiver circuit for inductive inter-chip wireless superconnect

    N Miura, D Mizoguchi, T Sakurai, T Kuroda

    IEEE JOURNAL OF SOLID-STATE CIRCUITS Vol. 40 No. 4 p. 829-837 2005年4月 研究論文(学術雑誌)

  21. A 195Gb/s 1.2W 3D-Stacked Inductive Inter-Chip Wireless Superconnect with Transmit Power Control Scheme

    N. Miura, D. Mizoguchi, M. Inoue, H.Tsuji, T. Sakurai, T. Kuroda

    IEEE International Solid State Circuits Conference Digest of Technical Papers p. 264-265 2005年2月 研究論文(国際会議プロシーディングス)

  22. Hardware Trojan Detection by Fine-grained Power Domain Partitioning

    Takahiro Ishikawa, Kose Yokooji, Yoshihiro Midoh, Noriyuki Miura, Michihiro Shintani, Jun Shiomi

    Proceedings of the 30th Asia and South Pacific Design Automation Conference p. 1257-1263 2025年1月20日 研究論文(国際会議プロシーディングス)

    出版者・発行元:ACM
  23. Double-Sided Multimodal Attack Sensing and Partial Re-Keying in Shared Group Key System

    Ryuki Ikemoto, Soichiro Fujii, Kotaro Naruse, Jun Shiomi, Yoshihiro Midoh, Yuki Yamashita, Makoto Nagata, Yuichi Komano, Mitsugu Iwamoto, Kazuo Sakiyama, Noriyuki Miura

    IEEE European Solid-State Electronics Research Conference p. 1-4 2024年9月 研究論文(国際会議プロシーディングス)

  24. Utilization of active contour model with 3D-SEM simulation for see-through BSE image of high voltage SEM

    Masahiro Oya, Yosuke Okamoto, Shinichi Nakazawa, Kotaro Maruyama, Yuichiro Yamazaki, Shinji Murakami, Yoshihiro Midoh, Noriyuki Miura

    Metrology, Inspection, and Process Control XXXVIII p. 1-14 2024年4月10日 研究論文(国際会議プロシーディングス)

    出版者・発行元:SPIE
  25. 代用音声新世紀-失った声を取り戻す- 機械読唇による自然な日本語の発話を目指して

    御堂 義博, 伊勢 拓真, 北山 一樹, 細川 清人, 猪原 秀典, 三浦 典之

    日本耳鼻咽喉科頭頸部外科学会会報 Vol. 127 No. 4 p. 370-370 2024年4月

    出版者・発行元:(一社)日本耳鼻咽喉科頭頸部外科学会
  26. Voltage Dependence Model of Electromagnetic Side-Channel Attacks on Cryptographic Circuits

    Kazuki Minamiguchi, Yoshihiro Midoh, Noriyuki Miura, Jun Shiomi

    SASIMI p. 361-366 2024年3月 研究論文(国際会議プロシーディングス)

  27. Edge-Oriented Point Cloud Compression by Moving Object Detection for Realtime Smart Monitoring

    Itsuki Takada, Daiki Nitto, Yoshihiro Midoh, Noriyuki Miura, Jun Shiomi, Ryoichi Shinkuma

    2024 IEEE 21st Consumer Communications & Networking Conference (CCNC) 2024年1月6日 研究論文(国際会議プロシーディングス)

    出版者・発行元:IEEE
  28. StrideHD: A Binary Hyperdimensional Computing System Utilizing Window Striding for Image Classification

    Dehua Liang, Jun Shiomi, Noriyuki Miura, Hiromitsu Awano

    IEEE Open Journal of Circuits and Systems p. 1-1 2024年 研究論文(学術雑誌)

    出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)
  29. Modeling of Tamper Resistance to Electromagnetic Side-channel Attacks on Voltage-scaled Circuits

    Kazuki Minamiguchi, Yoshihiro Midoh, Noriyuki Miura, Jun Shiomi

    29th Asia and South Pacific Design Automation Conference (ASP-DAC) p. 618-624 2024年1月 研究論文(国際会議プロシーディングス)

  30. Open Set Domain Adaptation for Image Classification with Multiple Unknown Labels Using Unsupervised Clustering in a Target Domain

    Daichi Nishihara, Yoshihiro Midoh, Youyang Ng, Osamu Yamane, Maasa Takahashi, Shuhei Iijima, Jun Shiomi, Goh Itoh, Noriyuki Miura

    Electronic Imaging 2024年1月 研究論文(国際会議プロシーディングス)

  31. All You Need Is Fault: Zero-Value Attacks on AES and a New λ-Detection M&M

    Haruka Hirata, Daiki Miyahara, Victor Arribas, Yang Li, Noriyuki Miura, Svetla Nikova, Kazuo Sakiyama

    IACR Transactions on Cryptographic Hardware and Embedded Systems Vol. 2024 No. 1 p. 133-156 2023年12月4日 研究論文(学術雑誌)

    出版者・発行元:Universitatsbibliothek der Ruhr-Universitat Bochum
  32. A Robust and Energy Efficient Hyperdimensional Computing System for Voltage-scaled Circuits

    Dehua Liang, Hiromitsu Awano, Noriyuki Miura, Jun Shiomi

    ACM Transactions on Embedded Computing Systems p. 1-19 2023年9月11日 研究論文(学術雑誌)

    出版者・発行元:Association for Computing Machinery (ACM)
  33. A Fetal-Movement Circuit Harvesting High-Energy Plasma During Fabrication, Concept and Its Application to Self-Programing PUF

    Kotaro Naruse, Takayuki Ueda, Jun Shiomi, Yoshihiro Midoh, Noriyuki Miura

    IEEE Solid-State Circuits Letters Vol. 6 p. 1-5 2023年9月 研究論文(学術雑誌)

    出版者・発行元:
  34. エネルギーの場,コンピューターのカタチ

    三浦 典之

    電子情報通信学会信学技報 Vol. 23 No. 144 p. 62-63 2023年7月 研究論文(研究会,シンポジウム資料等)

  35. DependableHD: A Hyperdimensional Learning Framework for Edge-Oriented Voltage-Scaled Circuits.

    Dehua Liang, Hiromitsu Awano, Noriyuki Miura, Jun Shiomi

    ASP-DAC p. 416-422 2023年 研究論文(国際会議プロシーディングス)

  36. Analog Techniques for Digital Security

    Makoto Nagata, Noriyuki Miura, Takuji Miki

    IEEE Solid-State Circuits Magazine Vol. 15 No. 1 p. 25-31 2023年1月

  37. 情報空間と物理空間をつなぐ集積システムのカタチ

    三浦 典之

    IEICE ESS Fundamentals Review Vol. 16 No. 3 p. 147-155 2023年1月1日 研究論文(学術雑誌)

    出版者・発行元:
  38. Emerging Computing Systems Utilizing Electro-Magnetic Near-Field Connectivity

    Noriyuki Miura

    2022 IEEE International Symposium on Radio-Frequency Integration Technology (RFIT) p. 211-213 2022年8月29日 研究論文(国際会議プロシーディングス)

    出版者・発行元:
  39. A Hardware Efficient Reservoir Computing System Using Cellular Automata and Ensemble Bloom Filter

    Dehua LIANG, Jun SHIOMI, Noriyuki MIURA, Masanori HASHIMOTO, Hiromitsu AWANO

    IEICE Transactions on Information and Systems Vol. E105.D No. 7 p. 1273-1282 2022年7月1日 研究論文(学術雑誌)

    出版者・発行元:Institute of Electronics, Information and Communications Engineers (IEICE)
  40. Accurate measurement of charge density in nanoscale particles using an aperture optimization of Fourier based phase reconstruction

    Takuma Okada, Yoshihiro Midoh, Koji Nakamae, Noriyuki Miura

    Proceedings of the Electronic Imaging 2022 Vol. 34 No. 14 p. 248-1 2022年1月 研究論文(学術雑誌)

    出版者・発行元:Society for Imaging Science & Technology
  41. A Memory Efficient Distributed Binary Hyperdimensional Computing Architecture for Image Classification

    Dehua Liang, Jun Shiomi, Noriyuki Miura, Hiromitsu Awano

    Proceedings of the 27th Asia and South Pacific Design Automation Conference (ASP-DAC) Vol. 2022-January p. 43-49 2022年1月 研究論文(国際会議プロシーディングス)

  42. More Accurate and Robust PRNU-Based Source Camera Identification with 3-Step 3-Class Approach

    Annjhih Hsiao, Takao Takenouchi, Hiroaki Kikuchi, Kazuo Sakiyama, Noriyuki Miura

    Digital Forensics and Watermarking p. 87-101 2021年11月 研究論文(国際会議プロシーディングス)

    出版者・発行元:Springer International Publishing
  43. Physical Attack Protection Techniques for IC Chip Level Hardware Security

    Makoto Nagata, Takuji Miki, Noriyuki Miura

    IEEE Transactions on Very Large Scale Integration (VLSI) Systems p. 1-10 2021年5月 研究論文(学術雑誌)

    出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)
  44. Design and concept proof of an inductive impulse self-destructor in sense-and-react countermeasure against physical attacks

    Sho Tada, Yuki Yamashita, Kohei Matsuda, Makoto Nagata, Kazuo Sakiyama, Noriyuki Miura

    Japanese Journal of Applied Physics Vol. 60 No. SB p. SBBL01-SBBL01 2021年5月1日 研究論文(学術雑誌)

    出版者・発行元:IOP Publishing
  45. 3-D CMOS Chip Stacking for Security ICs Featuring Backside Buried Metal Power Delivery Networks With Distributed Capacitance

    Kazuki Monta, Hiroki Sonoda, Takaaki Okidono, Yuuki Araga, Naoya Watanabe, Haruo Shimamoto, Katsuya Kikuchi, Noriyuki Miura, Takuji Miki, Makoto Nagata

    IEEE Transactions on Electron Devices Vol. 68 No. 4 p. 2077-2082 2021年4月 研究論文(学術雑誌)

    出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)
  46. Low-cost distance-spoofing attack on FMCW radar and its feasibility study on countermeasure

    Shoei Nashimoto, Daisuke Suzuki, Noriyuki Miura, Tatsuya Machida, Kohei Matsuda, Makoto Nagata

    Journal of Cryptographic Engineering Vol. 11 No. 3 p. 1-10 2021年1月7日 研究論文(学術雑誌)

    出版者・発行元:Springer Science and Business Media LLC
  47. Secure 3D CMOS Chip Stacks with Backside Buried Metal Power Delivery Networks for Distributed Decoupling Capacitance

    Hiroki Sonoda, Kazuki Monta, Takaaki Okidono, Yuuki Araga, Naoya Watanabe, Haruo Shimamoto, Katsuya Kikuchi, Noriyuki Miura, Takuji Miki, Makoto Nagata

    Proceedings of the 66th IEEE International Electron Device Meeting (IEDM 2020) p. 1-4 2020年12月

  48. Diffusional Side-Channel Leakage From Unrolled Lightweight Block Ciphers: A Case Study of Power Analysis on PRINCE

    Ville Yli-Mayry, Rei Ueno, Noriyuki Miura, Makoto Nagata, Shivam Bhasin, Yves Mathieu, Tarik Graba, Jean-Luc Danger, Naofumi Homma

    IEEE Transactions on Information Forensics and Security Vol. 16 p. 1351-1364 2020年10月 研究論文(学術雑誌)

    出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)
  49. A 0.6-V Adaptive Voltage Swing Serial Link Transmitter Using Near Threshold Body Bias Control and Jitter Estimation

    Yoshihide KOMATSU, Akinori SHINMYO, Mayuko FUJITA, Tsuyoshi HIRAKI, Kouichi FUKUDA, Noriyuki MIURA, Makoto NAGATA

    IEICE Transactions on Electronics Vol. E103.C No. 10 p. 497-504 2020年10月1日 研究論文(学術雑誌)

    出版者・発行元:Institute of Electronics, Information and Communications Engineers (IEICE)
  50. Si-Backside Protection Circuits Against Physical Security Attacks on Flip-Chip Devices

    Takuji Miki, Makoto Nagata, Hiroki Sonoda, Noriyuki Miura, Takaaki Okidono, Yuuki Araga, Naoya Watanabe, Haruo Shimamoto, Katsuya Kikuchi

    IEEE Journal of Solid-State Circuits Vol. 55 No. 10 p. 2747-2755 2020年10月 研究論文(学術雑誌)

    出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)
  51. An Inductive Impulse Self-Destructor in Sense-and-React Countermeasure Against Physical Attacks

    Sho Tada, Yuki Yamashita, Kohei Matsuda, Makoto Nagata, Kazuo Sakiyama, Noriyuki Miura

    Extended Abstracts of International Conference on Solid State Devices and Materials (SSDM) p. 791-792 2020年9月

  52. Flush Code Eraser: Fast Attack Response Invalidating Cryptographic Sensitive Data

    Kazuo Sakiyama, Tatsuya Fujii, Kohei Matsuda, Noriyuki Miura

    IEEE Embedded Systems Letters Vol. 12 No. 2 p. 37-40 2020年6月1日 研究論文(学術雑誌)

    出版者・発行元:Institute of Electrical and Electronics Engineers Inc.
  53. An IC-level countermeasure against laser fault injection attack by information leakage sensing based on laser-induced opto-electric bulk current density

    Kohei Matsuda, Sho Tada, Makoto Nagata, Yuichi Komano, Yang Li, Takeshi Sugawara, Mitsugu Iwamoto, Kazuo Ohta, Kazuo Sakiyama, Noriyuki Miura

    Japanese Journal of Applied Physics Vol. 59 No. SG p. SGGL02-SGGL02 2020年4月1日 研究論文(学術雑誌)

    出版者・発行元:IOP Publishing
  54. High Throughput/Gate AES Hardware Architectures Based on Datapath Compression

    Rei Ueno, Sumio Morioka, Noriyuki Miura, Kohei Matsuda, Makoto Nagata, Shivam Bhasin, Yves Mathieu, Tarik Graba, Jean-Luc Danger, Naofumi Homma

    IEEE Transactions on Computers Vol. 69 No. 4 p. 534-548 2020年4月1日 研究論文(学術雑誌)

    出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)
  55. Side-Channel Leakage of Alarm Signal for a Bulk-Current-Based Laser Sensor

    Yang Li, Ryota Hatano, Sho Tada, Kohei Matsuda, Noriyuki Miura, Takeshi Sugawara, Kazuo Sakiyama

    Information Security and Cryptology p. 346-361 2020年3月13日 論文集(書籍)内論文

    出版者・発行元:Springer International Publishing
  56. A Key Recovery Algorithm Using Random Key Leakage from AES Key Schedule.

    Tomoki Uemura, Yohei Watanabe 0001, Yang Li 0001, Noriyuki Miura, Mitsugu Iwamoto, Kazuo Sakiyama, Kazuo Ohta

    International Symposium on Information Theory and Its Applications(ISITA) p. 382-386 2020年 研究論文(国際会議プロシーディングス)

    出版者・発行元:IEEE
  57. A Random Interrupt Dithering SAR Technique for Secure ADC Against Reference-Charge Side-Channel Attack

    Takuji Miki, Noriyuki Miura, Hiroki Sonoda, Kento Mizuta, Makoto Nagata

    IEEE Transactions on Circuits and Systems II: Express Briefs Vol. 67 No. 1 p. 14-18 2020年1月 研究論文(学術雑誌)

    出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)
  58. A low-cost replica-based distance-spoofing attack on Mmwave FMCW radar

    Noriyuki Miura, Tatsuya Machida, Kohei Matsuda, Makoto Nagata, Shoei Nashimoto, Daisuke Suzuki

    Proceedings of the ACM Conference on Computer and Communications Security p. 95-100 2019年11月15日 研究論文(国際会議プロシーディングス)

    出版者・発行元:Association for Computing Machinery
  59. A Si-Backside Protection Circuits Against Physical Security Attacks on Flip-Chip Devices

    Takuji Miki, Makoto Nagata, Hiroki Sonoda, Noriyuki Miura, Takaaki Okidono, Yuuki Araga, Naoya Watanabe, Haruo Shimamoto, Katsuya Kikuchi

    2019 IEEE Asian Solid-State Circuits Conference (A-SSCC) p. 1-4 2019年11月 研究論文(国際会議プロシーディングス)

    出版者・発行元:IEEE
  60. Over-the-top Si Interposer Embedding Backside Buried Metal PDN to Reduce Power Supply Impedance of Large Scale Digital ICs

    Takuji Miki, Makoto Nagata, Akihiro Tsukioka, Noriyuki Miura, Takaaki Okidono, Yuuki Araga, Naoya Watanabe, Haruo Shimamoto, Katsuya Kikuchi

    2019 International 3D Systems Integration Conference (3DIC) p. 1-4 2019年10月 研究論文(国際会議プロシーディングス)

    出版者・発行元:IEEE
  61. Sintered Ferrite Thin Plate Noise Suppressor Mounted on IC Chip Interposer (Invited)

    Masahiro Yamaguchi, Akihiro Takahashi, Yasunori Miyazawa, Koh Watanabe, Kosuke Jike, Satoshi Tanaka, Noriyuki Miura, Makoto Nagata

    Proceedings of the The 12th International Workshop on the Electromagnetic Compatibility of Integrated Circuits(EMC Compo 2019) p. 231-233 2019年10月 研究論文(国際会議プロシーディングス)

  62. Magnetic Composite Sheets in IC Chip Packaging for Suppression of Undesired Noise Emission to Wireless Communication Channels

    Koh Watanabe, Kosuke Jike, Satoshi Tanaka, Noriyuki Miura, Makoto Nagata, Akihiro Takahashi, Yasunori Miyazawa, Masahiro Yamaguchi

    Proceedings of the The 12th International Workshop on the Electromagnetic Compatibility of Integrated Circuits(EMC Compo 2019) p. 1-3 2019年10月 研究論文(国際会議プロシーディングス)

  63. An Information Leakage Sensor Based on Measurement of Laser-Induced Opto-Electric Bulk Current Density

    Kohei Matsuda, Sho Tada, Makoto Nagata, Yang Li, Takeshi Sugawara, Mitsugu Iwamoto, Kazuo Ohta, Kazuo Sakiyama, Noriyuki Miura

    Extended Abstracts of International Conference on Solid State Devices and Materials p. 501-502 2019年9月

  64. Evaluation of Undesired Radio Waves below -170 dBm/Hz from Semiconductor Switching Devices for Impact on Wireless Communication

    Koh Watanabe, Yoshifumi Sugimoto, Satoshi Tanaka, Noriyuki Miura, Makoto Nagata, Yasunori Miyazawa, Masahiro Yamaguchi

    IEEE Letters on Electromagnetic Compatibility Practice and Applications Vol. 1 No. 3 p. 72-76 2019年9月 研究論文(学術雑誌)

  65. Evaluation of Near-Field Undesired Radio Waves from Semiconductor Switching Circuit

    Makoto Nagata, Koh Watanabe, Yoshifumi Sugimoto, Noriyuki Miura, Satoshi Tanaka, Yasunori Miyazawa, Masahiro Yamaguchi

    Proc. of the 2019 International Symposium on Electromagnetic Compatibility (EMC Europe 2019) Vol. 1 No. 1 p. 866-869 2019年9月 研究論文(国際会議プロシーディングス)

  66. Side-channel leakage from sensor-based countermeasures against fault injection attack

    Takeshi Sugawara, Natsu Shoji, Kazuo Sakiyama, Kohei Matsuda, Noriyuki Miura, Makoto Nagata

    Microelectronics Journal Vol. 90 p. 63-71 2019年8月 研究論文(学術雑誌)

    出版者・発行元:Elsevier BV
  67. A 0.72pJ/bit 400μm2 Physical Random Number Generator Utilizing SAR Technique for Secure Implementation on Sensor Nodes

    Takuji MIKI, Noriyuki MIURA, Makoto NAGATA

    IEICE Transactions on Electronics Vol. E102.C No. 7 p. 530-537 2019年7月1日 研究論文(学術雑誌)

    出版者・発行元:Institute of Electronics, Information and Communications Engineers (IEICE)
  68. Interference of Undesired Radio Waves Near Inverter Power Devices on Mobile Communications

    Koh Watanabe, Yoshifumi Sugimoto, Noriyuki Miura, Makoto Nagata, Satoshi Tanaka, Yasunori Miyazawa, Masahiro Yamaguchi

    Proceedings of the IEICE EMC Sapporo 2019/IEEE APEMC 2019 p. 36-36 2019年6月

  69. Magnetic Powder Composite Noise Suppressor for Flip Chip Mounted High Speed IC Chip

    Masahiro Yamaguchi, Mitsuharu Sato, Akihiro Takahashi, Yasunori Miyazawa, Satoshi Tanaka, Kosuke Jike, Koh Watanabe, Noriyuki Miura, Makoto Nagata

    2019 Joint International Symposium on Electromagnetic Compatibility and Asia-Pacific International Symposium on Electromagnetic Compatibility, Sapporo (EMC Sapporo & APEMC 2019), MonPM2C.6 Vol. 1 No. 1 p. 38-41 2019年6月 研究論文(国際会議プロシーディングス)

  70. On-Chip Physical Attack Protection Circuits for Hardware Security

    Makoto Nagata, Takuji Miki, Noriyuki Miura

    Proceedins of the IEEE Custom Integrated Circuits Conference p. 1-6 2019年4月

  71. 無線カオス発振型チップ・パッケージ・ボード相互作用PUFの統合回路設計手法とその評価

    高橋雅典, 永田真, 三浦典之

    電子情報通信学会技術報告 Vol. 118 No. 458 p. 223-224 2019年3月 研究論文(研究会,シンポジウム資料等)

  72. 楕円曲線デジタル署名アルゴリズムのASICチップ実装と評価

    佐藤聡介, 吉田弘樹, 門田和樹, 沖殿貴朗, 三木拓司, 三浦典之, 永田真

    電子情報通信学会技術報告 Vol. 118 No. 458 p. 267-269 2019年3月 研究論文(研究会,シンポジウム資料等)

  73. センサーMCUのAD変換器を悪用したアナログ情報漏洩・改竄攻撃

    水田 健人, 三木拓司, 三浦典之, 永田真

    2019年電子情報通信学会総合大会 2019年3月 研究論文(研究会,シンポジウム資料等)

  74. オンチップ電源回路によるサイドチャネル漏洩抑制効果の解析

    三木拓司, 三浦典之, 永田真

    2019年電子情報通信学会総合大会 2019年3月 研究論文(研究会,シンポジウム資料等)

  75. オンチップLC発振器の電磁ノイズ注入同期現象の測定とその応用

    程月, 渡邊航, 三浦典之, 永田 真

    電子情報通信学会技術報告 Vol. 118 No. 507 p. 93-95 2019年3月 研究論文(研究会,シンポジウム資料等)

  76. インバータ電源装置近傍における不要電波と移動通信への干渉評価

    椙本祥史, 渡邊航, 三浦典之, 永田真, 宮澤安範, 田中聡, 山口正洋

    2019年電子情報通信学会総合大会 2019年3月 研究論文(研究会,シンポジウム資料等)

  77. インバータ電源装置における不要電波の高感度測定と無線通信への干渉の評価

    椙本祥史, 渡邊航, 三浦典之, 永田真, 宮澤安範, 田中聡, 山口正洋

    電子情報通信学会技術報告 Vol. 118 No. 507 p. 23-25 2019年3月 研究論文(研究会,シンポジウム資料等)

  78. PRINCEファミリ暗号プロセッサの超軽量実装

    松田航平, 永田真, 三浦典之

    電子情報通信学会技術報告 Vol. 118 No. 458 p. 261-265 2019年3月 研究論文(研究会,シンポジウム資料等)

  79. A Thick Cu Layer Buried in Si Interposer Backside for Global Power Routing,

    ARAGA Yuuki, NAGATA Makoto, IKEDA Hiroaki, MIKI Takuji, MIURA Noriyuki, WATANABE Naoya, SHIMAMOTO Haruo, KIKUCHI Katsuya

    IEEE Transactions on Components, Packaging and Manufacturing Technology Vol. 9 No. 3 p. 502-510 2019年3月 研究論文(学術雑誌)

  80. 低遅延暗号における中間ラウンドからのサイドチャネル漏えいとそのRSMに基づく効率的な対策

    Ville Yli-Mayry, 上野嶺, 本間尚文, 青木孝文, 三浦典之, 松田航平, 永田真, Shivam Bhasin, Yves Mathieu, Tarik Graba, Jean-Luc Danger

    2019年暗号と情報セキュリティシンポジウム(SCIS2019) 2019年1月 研究論文(研究会,シンポジウム資料等)

  81. ミリ波レーダの環境擾乱応答評価システムの軽量実装と精度解析

    町田樹哉, 松田航平, 三浦典之, 梨本翔永, 鈴木大輔, 永田真

    2019年暗号と情報セキュリティシンポジウム(SCIS2019) 2019年1月 研究論文(研究会,シンポジウム資料等)

  82. デジタルICチップの電源ノイズ特性におけるパッケージング実装形態依存性の解析

    月岡暉裕, 地家幸佑, 渡邊航, 三浦典之, 永田真

    電子情報通信学会技術報告 p. 37-42 2018年12月 研究論文(研究会,シンポジウム資料等)

  83. Electromagnetic Radiation by IC Chip and Evaluation of Mobile Communication Interference

    SUGIMOTO Yoshifumi, WATANABE Koh, NAGATA Makoto, MIURA Noriyuki, MIYAZAWA Yasunori, TANAKA Satoshi, YAMAGUCHI Masahiro

    IEICE Techinical Report EMCJ2018 p. 31-33 2018年11月 研究論文(研究会,シンポジウム資料等)

  84. 暗号モジュールにおける電源ノイズとサイドチャネル漏洩の対策(Ⅰ)

    門田和樹, 佐藤聡介, 月岡暉裕, 沖殿貴朗, 三木拓司, 三浦典之, 永田真

    電子情報通信学会学術報告 p. 7-11 2018年10月 研究論文(研究会,シンポジウム資料等)

  85. 無線結合とカオス発振を利用したチップ・パッケージ・ボード相互作用PUFの実験と評価

    高橋雅典, 松田航平, 永田 真, 三浦 典之

    電子情報通信学会ソサイエティ大会 2018年9月 研究論文(研究会,シンポジウム資料等)

  86. ICチップによる電磁輻射のパッケージング依存性

    渡邊航, 椙本祥史, 地家幸佑, 三浦典之, 永田真, 宮澤安範, 田中聡, 山口正洋

    電子情報通信学会ソサイエティ大会 2018年9月 研究論文(研究会,シンポジウム資料等)

  87. ICチップによる電磁輻射と移動通信干渉の評価

    椙本祥史, 渡邊航, 三浦 典之, 永田真, 宮澤安範, 田中聡, 山口正洋

    電子情報通信学会ソサイエティ大会 2018年9月 研究論文(研究会,シンポジウム資料等)

  88. レーザー故障注入攻撃対策を備えた暗号ICの設計手法

    松田航平, 藤井達哉, 庄司奈津, 菅原健, 崎山一男, 林優一, 永田 真, 三浦 典之

    DAシンポジウム2018論文集 p. 220-225 2018年8月 研究論文(研究会,シンポジウム資料等)

  89. デジタルICチップにおける電源ノイズの評価及び解析

    地家幸佑, 月岡 暉裕, 澤田凌兵, 渡邊航, 三浦典之, 永田真

    電子情報通信学会学術報告 p. 77-82 2018年8月 研究論文(研究会,シンポジウム資料等)

  90. Interaction of RF DPI with ESD protection Devices in EMS Testing of IC Chips,

    TSUKIOKA Akihiro, NAGATA Makoto, FUJIMOTO Daisuke, MIURA Noriyuki, AKIMOTO Rieko, EGAMI Takao, NIINOMI Kenji, YUHARA Takeshi, HAYASHI Sachio, Karthik Srinivasan, Ying-Shiun Li, Norman Chang

    International Symposium on Electromagnetic Compatibility (EMC Europe 2018) p. 445-450 2018年8月 研究論文(国際会議プロシーディングス)

  91. Analysis of Mixed PUF-TRNG Circuit Based on SR-Latches in FD-SOI Technology,

    Jean-Luc Danger, YASHIRO Risa, Tarik Graba, Yves Mathieu, Abdelmalek Si-Merabet, SAKIYAMA Kazuo, MIURA Noriyuki, NAGATA Makoto, Sylvain Guilley

    Proceedings of the 21th Euromicro Conference on Digital System Design (DSD 2018) p. 508-515 2018年8月 研究論文(国際会議プロシーディングス)

  92. A Demonstration of a HT-Detection Method Based on Impedance Measurements of the Wiring Around ICs,

    FUJIMOTO Daisuke, NIN Shota, HAYASHI Yu-ichi, MIURA Noriyuki, NAGATA Makoto, MATSUMOTO Tsutomu

    IEEE Transactions on Circuits and Systems II: Express Briefs Vol. 65 No. 10 p. 1320-1324 2018年7月 研究論文(学術雑誌)

  93. HT-Detection Method Based on Impedance Measurements of ICs,

    NIN Shota, FUJIMOTO Daisuke, HAYASHI Yuichi, MIURA Noriyuki, NAGATA Makoto, MATSUMOTO Tsutomu

    Proceedings of 2018 IEEE International Symposium on Electromagnetic Compatibility and IEEE Asia-Pacific Symposium on Electromagnetic Compatibility (EMC/APEMC) 2018年5月 研究論文(国際会議プロシーディングス)

  94. 基板電流センサと電源瞬断回路を利用した小面積レーザーフォールト注入攻撃対策

    松田航平, 藤井達哉, 庄司奈津, 菅原健, 崎山一男, 林優一, 永田 真, 三浦 典之

    電子情報通信学会学術報告 p. 41-44 2018年4月 研究論文(研究会,シンポジウム資料等)

  95. Physical-Cyber境界におけるアナログ計測セキュリティ技術

    三木拓司, 水田健人, 三浦 典之, 永田 真

    電子情報通信学会学術報告 p. 45-48 2018年4月 研究論文(研究会,シンポジウム資料等)

  96. 逐次比較型AD変換器に対するサイドチャネル攻撃とその対策

    三木 拓司, 三浦 典之, 永田 真

    電子情報通信学会総合大会 p. S-22 2018年3月 研究論文(研究会,シンポジウム資料等)

  97. Supply-Chain Security Enhancement by Chaotic Wireless Chip-Package-Board Interactive PUF,

    TAKAHASHI Masanori, NAGATA Makoto, MIURA Noriyuki

    2018 IEEE 68th Electronic Components and Technology Conference(ECTC 2018) p. 521-526 2018年3月 研究論文(国際会議プロシーディングス)

  98. Measurement and Analysis of Power Noise Characteristics for EMI Awareness of Power Delivery Networks in 3-D Through-Silicon Via Integration

    Yuuki Araga, Makoto Nagata, Noriyuki Miura, Hiroaki Ikeda, Katsuya Kikuchi

    IEEE Transactions on Components, Packaging and Manufacturing Technology Vol. 8 No. 2 p. 277-285 2018年2月1日 研究論文(学術雑誌)

    出版者・発行元:Institute of Electrical and Electronics Engineers Inc.
  99. 電荷再配分型SAR-ADCの変換基準電圧入力を悪用した情報改竄攻撃

    水田 健人, 三木 拓司, 三浦 典之, 永田 真

    2018年暗号と情報セキュリティシンポジウム(SCIS2018) p. 1D1-4 2018年1月 研究論文(研究会,シンポジウム資料等)

  100. ミリ波レーダの環境擾乱応答の評価システムの構築

    町田 樹哉, 松田 航平, 三浦 典之, 永田 真, 梨本翔永, 鈴木 大輔

    2018年暗号と情報セキュリティシンポジウム(SCIS2018) p. 2D3-4 2018年1月 研究論文(研究会,シンポジウム資料等)

  101. フォルト検出センサを悪用した非侵襲プロービング攻撃

    菅原 健, 庄司 奈津, 崎山 一男, 松田 航平, 三浦 典之, 永田 真

    2018年暗号と情報セキュリティシンポジウム(SCIS2018) p. 3D3-6 2018年1月 研究論文(研究会,シンポジウム資料等)

  102. ハードウェアトロ―ジャン検出に向けたIC周辺配線のインピーダンス計測手法

    藤本 大介, 任翔太, 林 優一, 三浦 典之, 永田 真, 松本 勉

    2018年暗号と情報セキュリティシンポジウム(SCIS2018) p. 3D2-2 2018年1月 研究論文(研究会,シンポジウム資料等)

  103. FMCWレーダにおけるチャープ信号のランダム化

    鈴木 大輔, 梨本 翔永, 永塚 智之, 町田 樹哉, 三浦 典之, 永田真

    2018年暗号と情報セキュリティシンポジウム(SCIS2018) p. 2D3-5 2018年1月 研究論文(研究会,シンポジウム資料等)

  104. Chaos, deterministic non-periodic flow, for chip-package-board interactive PUF

    Noriyuki Miura, Masanori Takahashi, Kazuki Nagatomo, Makoto Nagata

    2017 IEEE Asian Solid-State Circuits Conference, A-SSCC 2017 - Proceedings Vol. 2017- p. 25-28 2017年12月26日 研究論文(国際会議プロシーディングス)

    出版者・発行元:Institute of Electrical and Electronics Engineers Inc.
  105. カオス発振を利用したチップ・パッケージ・ボードインタラクティブPUF

    高橋 雅典, 松田 航平, 永田 真, 三浦 典之

    電子情報通信学会技術報告 p. 1-2 2017年12月 研究論文(研究会,シンポジウム資料等)

  106. Laser fault injection attack countermeasure by abnormal substrate potential bounce monitoring

    MATSUDA Kohei, MIURA Noriyuki, NAGATA Makoto

    The 16th International Conference on Computers, Communications, and Systems (ICCCS 2017) p. 34-35 2017年11月 研究論文(研究会,シンポジウム資料等)

  107. ディジタル回路における不要電波:移動通信に影響する高次高調波の評価

    椙本 祥史, 渡邊 航, 三浦 典之, 永田 真, 宮澤 安範, 田中 聡, 山口 正洋

    電子情報通信学会技術報告 Vol. 117 No. 245 p. 95-98 2017年10月 研究論文(研究会,シンポジウム資料等)

    出版者・発行元:電子情報通信学会
  108. ディジタル回路の高次高調波ノイズによる移動通信への影響の評価

    椙本 祥史, 渡邊 航, 三浦 典之, 永田 真, 宮澤 安範, 田中 聡, 山口 正洋

    電子情報通信学会ソサイエティ大会 2017年9月 研究論文(研究会,シンポジウム資料等)

  109. Exploiting Bitflip Detector for Non-Invasive Probing and its Application to Ineffective Fault Analysis

    SUGAWARA Takeshi, SHOJI Natsu, SAKIYAMA Kazuo, MATSUDA Kohei, MIURA Noriyuki, NAGATA Makoto

    Proceedings of the IEEE 2017 Workshop on Fault Diagnosis and Tolerance in Cryptography (FDTC 2017) p. 49-56 2017年9月 研究論文(国際会議プロシーディングス)

    出版者・発行元:
  110. A 2.5ns-Latency 0.39pJ/b 289µm²/Gb/s Ultra-Light-Weight PRINCE Cryptographic Processor

    MIURA Noriyuki, MATSUDA Kohei, NAGATA Makoto, Shivam Bhasin, Ville Yli-Mayry, HOMMA Naofumi Homma, Yves Mathieu, Tarik Graba, Jean-Luc Danger

    2017 Symposium on VLSI Circuits, Dig. of Tech. Papers Vol. 20.2 p. C266-C267 2017年8月10日 研究論文(国際会議プロシーディングス)

    出版者・発行元:
  111. ICチップのEMC性能改善に向けた電源ノイズシミュレーション手法

    月岡 暉裕, 中島 弘紀, 三浦 典之, 永田 真

    電気学会電子回路研究会 p. 1-5 2017年8月 研究論文(研究会,シンポジウム資料等)

  112. Enhancing Reactive Countermeasure against EM Attacks with Low Overhead

    ISHIHATA Daisuke, HOMMA, HAYASHI Yuichi, MIURA Noriyuki, FUJIMOTO Daisuke, NAGATA Makoto, AOKI Takafumi

    Proceedings of the 2017 IEEE International Symposium on Electromagnetic Compatibility, Signal and Power Integrity p. 399-404 2017年8月 研究論文(国際会議プロシーディングス)

  113. Susceptibility evaluation of CAN transceiver circuits with in-place waveform capturing under RF DPI

    Kohki Taniguchi, Makoto Nagata, Akihiro Tsukioka, Daisuke Fujimoto, Noriyuki Miura, Takao Egami, Rieko Akimoto, Kenji Niinomi, Terumitsu Komatsu, Yoshinori Fukuba, Atsushi Tomishima

    Proceedings of the 2017 11th International Workshop on the Electromagnetic Compatibility of Integrated Circuits, EMCCompo 2017 p. 59-63 2017年7月31日 研究論文(国際会議プロシーディングス)

    出版者・発行元:Institute of Electrical and Electronics Engineers Inc.
  114. A 500 MHz-BW-52.5 dB-THD Voltage-to-Time Converter Utilizing Two-Step Transition Inverter Delay Lines in 28 nm CMOS

    Takuji Miki, Noriyuki Miura, Kento Mizuta, Shiro Dosho, Makoto Nagata

    IEICE TRANSACTIONS ON ELECTRONICS Vol. E100C No. 6 p. 560-567 2017年6月 研究論文(学術雑誌)

  115. (招待講演)ナノドット型恒久メモリーの研究

    渡邊 強, 三浦 典之, 劉 施佳, 今井 繁規, 永田 真

    電子情報通信学会技術報告 ICD2017 p. 17-22 2017年4月 研究論文(研究会,シンポジウム資料等)

  116. Superior decoupling capacitor for three-dimensional LSI with ultrawide communication bus

    Yuuki Araga, Makoto Nagata, Noriyuki Miura, Hiroaki Ikeda, Katsuya Kikuchi

    Japanese Journal of Applied Physics Vol. 56 No. 4 p. 04CC05-1-04EE06-6 2017年4月1日 研究論文(国際会議プロシーディングス)

    出版者・発行元:Japan Society of Applied Physics
  117. 近接電磁波解析攻撃センサの高感度化手法の提案とその評価

    田中 廉大, 三浦 典之, 永田 真

    電子情報通信学会総合大会 2017年3月 研究論文(研究会,シンポジウム資料等)

  118. 基板電流検知回路を用いたレーザーフォールト注入攻撃対策のオーバヘッド推定

    松田 航平, 三浦 典之, 永田 真, 林 優一, 藤井 達哉, 崎山 一男

    電子情報通信学会総合大会 2017年3月 研究論文(研究会,シンポジウム資料等)

  119. 暗号モジュール搭載VLSIチップの電源ノイズシミュレーション

    山本 直也, 月岡 暉裕, 是永 梨絵, 三浦 典之, 永田 真

    電子情報通信学会総合大会 2017年3月 研究論文(研究会,シンポジウム資料等)

  120. ディジタル回路における不要電波:高次高調波の評価

    小西 秀人, 椙本 祥史, 三浦 典之, 永田 真, 宮澤 安範, 田中 聡, 山口 正洋

    電子情報通信学会総合大会 2017年3月 研究論文(研究会,シンポジウム資料等)

  121. 近接電磁波解析攻撃に対する高感度プローブセンサの設計と検出性能の解析

    田中 廉大, 三浦 典之, 永田 真

    2017年暗号と情報セキュリティシンポジウム(SCIS2017) 2017年1月 研究論文(研究会,シンポジウム資料等)

  122. ミリ波レーダの環境擾乱応答の評価及び解析

    町田 樹哉, 三浦 典之, 永田 真, 菅原 健, 梨本 翔永, 鈴木 大輔

    2017年暗号と情報セキュリティシンポジウム(SCIS2017) 2017年1月 研究論文(研究会,シンポジウム資料等)

  123. ミリ波レーダのチャープ信号のランダム化

    菅原 健, 梨本 翔永, 鈴木 大輔, 町田 樹哉, 三浦 典之, 永田 真

    2017年暗号と情報セキュリティシンポジウム(SCIS2017) 2017年1月 研究論文(研究会,シンポジウム資料等)

  124. An FPGA-Compatible PLL-Based Sensor against Fault Injection Attack

    Wei He, Jakub Breier, Shivam Bhasin, Noriyuki Miura, Makoto Nagata

    2017 22ND ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC) p. 39-40 2017年 研究論文(国際会議プロシーディングス)

  125. Protecting cryptographic integrated circuits with side-channel information

    Makoto Nagata, Daisuke Fujimoto, Noriyuki Miura, Naofumi Homma, Yu-Ichi Hayashi, Kazuo Sakiyama

    IEICE Electronics Express Vol. 14 No. 2 p. 1-13 2017年 研究論文(学術雑誌)

    出版者・発行元:Institute of Electronics Information Communication Engineers
  126. EMI performance of power delivery networks in 3D TSV integration

    Yuuki Araga, Makoto Nagata, Noriyuki Miura, Hiroaki Ikeda, Katsuya Kikuchi

    IEEE International Symposium on Electromagnetic Compatibility Vol. 2016- p. 428-433 2016年11月8日 研究論文(国際会議プロシーディングス)

    出版者・発行元:Institute of Electrical and Electronics Engineers Inc.
  127. TSVを用いた三次元実装LSIの電源配線におけるEMI特性

    荒賀 佑樹, 永田 真, 三浦 典之, 池田 博明, 菊地 克弥

    電子情報通信学会技術報告 2016年11月 研究論文(研究会,シンポジウム資料等)

  128. 基板電位変動モニタリングによるレーザーフォールト注入攻撃対策

    松田 航平, 三浦 典之, 永田 真, 林 優一, 藤井 達哉, 崎山 一男

    電子情報通信学会ソサイエティ大会 2016年9月 研究論文(研究会,シンポジウム資料等)

  129. Superiority of In-Stack Decoupling Capacitor for 3D-LSI with Wide I/O Data Bus

    ARAGA Yuuki, NAGATA Makoto, MIURA Noriyuki, IKEDA Hiroaki, KIKUCHI Katsuya

    Extended Abstracts of the 2016 International Conference on Solid State Devices and Materials (SSDM 2016) p. 469-470 2016年9月 研究論文(国際会議プロシーディングス)

  130. ICチップにおける電源ノイズのオンチップ測定及びオンボード測定

    澤田 凌兵, 三浦 典之, 永田 真

    電子情報通信学会ソサイエティ大会 2016年9月 研究論文(研究会,シンポジウム資料等)

  131. FPGA実装した暗号コアからの情報漏洩量と放射電磁ノイズ量の相関評価

    吉田 弘樹, 三浦 典之, 永田 真

    電子情報通信学会ソサイエティ大会 2016年9月 研究論文(研究会,シンポジウム資料等)

  132. 三次元積層IC における電源供給特性のインスタック診断手法

    三浦蘭斗, 荒賀佑樹, 池田博明, 三浦典之, 菊地克弥, 永田真

    電子情報通信学会技術報告 Vol. ICD2015-102 No. 476 p. 33-36 2016年3月 研究論文(研究会,シンポジウム資料等)

    出版者・発行元:電子情報通信学会
  133. Circuit-Level Information Leakage Prevention for Fault Detection

    Kazuo Sakiyama, Rcina Yagasaki, Takanori Machida, Tatsuya Fujii, Noriyuki Miura, Yu-ichi Hayashi

    2016 URSI ASIA-PACIFIC RADIO SCIENCE CONFERENCE (URSI AP-RASC) p. 1271-1274 2016年 研究論文(国際会議プロシーディングス)

  134. 電磁波解析攻撃に対する反応型対策の高性能化とその評価

    石幡大輔, 本間尚文, 林優一, 三浦典之, 藤本大介, 永田真, 青木孝文

    電子情報通信学会・2016年暗号と情報セキュリティシンポジウム Vol. 2F2-1 p. 1-6 2016年1月 研究論文(研究会,シンポジウム資料等)

  135. レーザーフォールト注入時のIC基板電位変動のオンチップ測定

    松田航平, 三浦典之, 永田真, 林優一, 藤井達哉, 矢ヶ崎玲奈, 崎山一男

    電子情報通信学会・2016年暗号と情報セキュリティシンポジウム Vol. 2F1-4 p. 1-4 2016年1月 研究論文(研究会,シンポジウム資料等)

  136. Physical Authentication Using Side-Channel Information

    Kazuo Sakiyama, Momoka Kasuya, Takanori Machida, Arisa Matsubara, Yunfeng Kuai, Yu-ichi Hayashi, Takaaki Mizuki, Noriyuki Miura, Makoto Nagata

    2016 4TH INTERNATIONAL CONFERENCE ON INFORMATION AND COMMUNICATION TECHNOLOGY (ICOICT) 2016年 研究論文(国際会議プロシーディングス)

  137. PLL to the Rescue: A Novel EM Fault Countermeasure

    Noriyuki Miura, Zakaria Najm, Wei He, Shivam Bhasin, Xuan Thuy Ngo, Makoto Nagata, Jean-Luc Danger

    2016 ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC) p. 1-6 2016年 研究論文(国際会議プロシーディングス)

  138. Ring Oscillator under Laser: Potential of PLL based Countermeasure against Laser Fault Injection

    Wei He, Jakub Breier, Shivam Bhasin, Noriyuki Miura, Makoto Nagata

    2016 WORKSHOP ON FAULT AND TOLERANCE IN CRYPTOGRAPHY (FDTC) p. 102-113 2016年 研究論文(国際会議プロシーディングス)

  139. EMI Performance of Power Delivery Networks in 3D TSV Integration

    Yuuki Araga, Makoto Nagata, Noriyuki Miura, Hiroaki Ikeda, Katsuya Kikuchi

    PROCEEDINGS OF THE 2016 INTERNATIONAL SYMPOSIUM ON ELECTROMAGNETIC COMPATIBILITY - EMC EUROPE p. 428-433 2016年 研究論文(国際会議プロシーディングス)

  140. A 500MHz-BW-52.5dB-THD Voltage-to-Time Converter Utilizing a Two-Step Transition Inverter

    Takuji Miki, Noriyuki Miura, Kento Mizuta, Shiro Dosho, Makoto Nagata

    ESSCIRC CONFERENCE 2016 p. 141-144 2016年 研究論文(国際会議プロシーディングス)

  141. Attack Sensing against FM Leakage and Injection

    Noriyuki Miura, Shivam Bhasin

    2016 INTERNATIONAL SOC DESIGN CONFERENCE (ISOCC) p. 201-202 2016年 研究論文(国際会議プロシーディングス)

  142. On-Chip Substrate-Bounce Monitoring for Laser-Fault Countermeasure

    Kohei Matsuda, Noriyuki Miura, Makoto Nagata, Yu-ichi Hayashi, Tatsuya Fujii, Kazuo Sakiyama

    PROCEEDINGS OF THE 2016 IEEE ASIAN HARDWARE ORIENTED SECURITY AND TRUST SYMPOSIUM (ASIANHOST 2016) p. 1-6 2016年 研究論文(国際会議プロシーディングス)

  143. 半導体モジュールにおける電源供給特性のチューニング手法

    永田真, 谷口綱紀, 三浦典之

    電気学会電子回路研究会 Vol. ECT-15-110 p. 77-81 2015年12月 研究論文(研究会,シンポジウム資料等)

  144. 適応調律型電源共振抑制フィルタのEMS評価

    谷口綱紀, 三浦典之, 永田真

    電子情報通信学会技術報告 Vol. ICD2015-57 No. 340 p. 29-32 2015年12月 研究論文(研究会,シンポジウム資料等)

    出版者・発行元:電子情報通信学会
  145. Design Methodology and Validity Verification for a Reactive Countermeasure Against EM Attacks

    HOMMA Naofumi, HAYASHI Yuichi, AOKI Takafumi, MIURA Noriyuki, FUJIMOTO Daisuke, NAGATA Makoto

    IACR Journal of Cryptology p. 1-19 2015年12月 研究論文(学術雑誌)

  146. Proactive and reactive protection circuit techniques against em leakage and injection

    Noriyuki Miura, Daisuke Fujimoto, Makoto Nagata

    IEEE International Symposium on Electromagnetic Compatibility Vol. 2015- p. 252-257 2015年9月10日 研究論文(国際会議プロシーディングス)

    出版者・発行元:Institute of Electrical and Electronics Engineers Inc.
  147. 電源電流イコライザの電力オーバーヘッド低減手法の提案と実証

    是永梨絵, 藤本大介, 三浦典之, 永田真

    電子情報通信学会ソサイエティ大会 Vol. C-12-7 2015年9月 研究論文(研究会,シンポジウム資料等)

  148. 実装環境に適応する電源共振ノイズ抑制フィルタの提案と評価

    谷口綱紀, 三浦典之, 永田真

    電子情報通信学会ソサイエティ大会 Vol. C-12-6 2015年9月 研究論文(研究会,シンポジウム資料等)

  149. サイドチャネル近傍電磁波解析攻撃センサの提案とセキュリティ耐性評価

    田中廉大, 三浦典之, 藤本大介, 林優一, 本間尚文, 青木孝文, 永田真

    電子情報通信学会ソサイエティ大会 Vol. C-12-5 2015年9月 研究論文(研究会,シンポジウム資料等)

  150. Adaptive Suppression of Power Delivery Network Resonance with Chip-Package-Board Interaction

    NAGATA Makoto, TANIGUCHI Kohki, MIURA Noriyuki

    Proc. ICDV 2015/VJMW2015 p. 58-60 2015年8月 研究論文(研究会,シンポジウム資料等)

  151. オンボード・ノイズ対策によるICチップレベルのノイズ低減効果 : LTE級移動体通信用ICを例題として (環境電磁工学)

    上坂 純平, 島崎 俊介, 三浦 典之, 室賀 翔, 田中 聡, 山口 正洋, 永田 真

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 Vol. 114 No. 503 p. 35-40 2015年3月6日 研究論文(研究会,シンポジウム資料等)

    出版者・発行元:一般社団法人電子情報通信学会
  152. 暗号LSIへの実装攻撃に対する反応型対策の高精度化に関する検討

    石幡 大輔, 本間 尚文, 林 優一, 三浦 典之, 藤本 大介, 永田 真, 青木 孝文

    電気関係学会東北支部連合大会講演論文集 Vol. 2015 p. 129-129 2015年

    出版者・発行元:電気関係学会東北支部連合大会実行委員会
  153. 電磁波攻撃センサの設計と実証

    本間 尚文, 林 優一, 三浦 典之, 藤本 大介, 永田 真, 青木 孝文

    2015年暗号と情報セキュリティシンポジウム講演論文集 2015年1月 研究論文(研究会,シンポジウム資料等)

    出版者・発行元:電子情報通信学会
  154. A DPA/DEMA/LEMA-Resistant AES Cryptographic Processor with Supply-Current Equalizer and Micro EM Probe Sensor

    Daisuke Fujimoto, Noriyuki Miura, Yu-ichi Hayashi, Naofumi Homma, Takafumi Aoki, Makoto Nagata

    2015 20TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC) Vol. #1S-13 p. 26-27 2015年 研究論文(国際会議プロシーディングス)

  155. At-Product-Test Dedicated Adaptive Supply-Resonance Suppression

    Kohki Taniguchi, Noriyuki Miura, Taisuke Hayashi, Makoto Nagata

    2015 IEEE 33RD VLSI TEST SYMPOSIUM (VTS) Vol. #TE3-1 p. 127-130 2015年 研究論文(国際会議プロシーディングス)

  156. Proactive and Reactive Protection Circuit Techniques Against EM Leakage and Injection

    Noriyuki Miura, Daisuke Fujimoto, Makoto Nagata

    2015 IEEE INTERNATIONAL SYMPOSIUM ON ELECTROMAGNETIC COMPATIBILITY (EMC) Vol. #SS-1-7 p. 252-257 2015年 研究論文(国際会議プロシーディングス)

  157. On-Chip and On-Board RF Noise Coupling and Impacts on LTE Wireless Communication Performance

    Makoto Nagata, Noriyuki Miura, Sho Muroga, Satoshi Tanaka, Masahiro Yamaguchi

    2015 IEEE INTERNATIONAL SYMPOSIUM ON RADIO-FREQUENCY INTEGRATION TECHNOLOGY (RFIT) Vol. WE1A-3 p. 7-9 2015年 研究論文(国際会議プロシーディングス)

  158. In-stack monitoring of signal and power nodes in three dimensional integrated circuits

    Yuuki Araga, Ranto Miura, Nao Ueda, Noriyuki Miura, Makoto Nagata

    IEEE International Symposium on Electromagnetic Compatibility Vol. 2014- p. 362-365 2014年12月23日 研究論文(国際会議プロシーディングス)

    出版者・発行元:Institute of Electrical and Electronics Engineers Inc.
  159. Correlation power analysis using bit-level biased activity plaintexts against AES cores with countermeasures

    Daisuke Fujimoto, Noriyuki Miura, Makoto Nagata, Yuichi Hayashi, Naofumi Homma, Takafumi Aoki, Yohei Hori, Toshihiro Katashita, Kazuo Sakiyama, Thanh-Ha Le, Julien Bringer, Pirouz Bazargan-Sabet, Shivam Bhasin, Jean-Luc Danger

    IEEE International Symposium on Electromagnetic Compatibility Vol. 2014- p. 306-309 2014年12月23日 研究論文(国際会議プロシーディングス)

    出版者・発行元:Institute of Electrical and Electronics Engineers Inc.
  160. サイドチャネル情報漏洩対策のための集積回路技術

    三浦 典之, 藤本 大介, 永田 真

    ICD2014-67 Vol. 114 No. 332 p. 9-14 2014年11月 研究論文(研究会,シンポジウム資料等)

    出版者・発行元:電子情報通信学会
  161. Integrated-circuit countermeasures against information leakage through em radiation

    Noriyuki Miura, Daisuke Fujimoto, Yu-Ichi Hayashi, Naofumi Homma, Takafumi Aoki, Makoto Nagata

    IEEE International Symposium on Electromagnetic Compatibility Vol. 2014- No. September p. 748-751 2014年9月15日 研究論文(国際会議プロシーディングス)

    出版者・発行元:Institute of Electrical and Electronics Engineers Inc.
  162. 二段階デュアルモード容量スキャン方式を用いた1mm-Pitch 80x80-Channel 322Hz-Frame-Rateタッチセンサの設計

    三浦 典之, 道正 志郎, 藤本 大介, 桐山 卓弥, 手塚 宏行, 三木 拓司, 永田 真

    ICD2014-20 Vol. 114 No. 120 p. 7-12 2014年7月 研究論文(研究会,シンポジウム資料等)

    出版者・発行元:電子情報通信学会
  163. CMOS暗号回路におけるシリコン基板からのサイドチャネル漏洩

    藤本 大介, 三浦 典之, 永田 真, 林 優一, 本間 尚文, Shivam Bhasin, Jean-Luc Danger

    EMCJ2014-10 p. 1-6 2014年6月 研究論文(研究会,シンポジウム資料等)

    出版者・発行元:電子情報通信学会
  164. Chip Level Simulation of Substrate Noise Coupling and Interference in RF ICs with CMOS Digital Noise Emulator

    Naoya Azuma, Shunsuke Shimazaki, Noriyuki Miura, Makoto Nagata, Tomomitsu Kitamura, Satoru Takahashi, Motoki Murakami, Kazuaki Hori, Atsushi Nakamura, Kenta Tsukamoto, Mizuki Iwanami, Eiji Hankui, Sho Muroga, Yasushi Endo, Satoshi Tanaka, Masahiro Yamaguchi

    IEICE TRANSACTIONS ON ELECTRONICS Vol. E97C No. 6 p. 546-556 2014年6月 研究論文(学術雑誌)

  165. Power Noise Measurements of Cryptographic VLSI Circuits Regarding Side-Channel Information Leakage

    Daisuke Fujimoto, Noriyuki Miura, Makoto Nagata, Yuichi Hayashi, Naofumi Homma, Takafumi Aoki, Yohei Hori, Toshihiro Katashita, Kazuo Sakiyama, Thanh-Ho Le, Julien Bringer, Pirouz Bazargan-Sabet, Shivam Bhasin, Jean-Luc Danger

    IEICE TRANSACTIONS ON ELECTRONICS Vol. E97C No. 4 p. 272-279 2014年4月 研究論文(学術雑誌)

  166. Emulation of high-frequency substrate noise generation in CMOS digital circuits

    Shunsuke Shimazaki, Shota Taga, Tetsuya Makita, Naoya Azuma, Noriyuki Miura, Makoto Nagata

    JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 53 No. 4 p. 04EE06-1-04EE06-6 2014年4月 研究論文(学術雑誌)

  167. Inductive coupling thruchip interface for 3D integration

    Noriyuki Miura, Tadahiro Kuroda

    Design of 3D Integrated Circuits and Systems p. 175-201 2014年1月1日 論文集(書籍)内論文

    出版者・発行元:CRC Press
  168. EM Attack Is Non-Invasive? - Design Methodology and Validity Verification of EM Attack Sensor.

    Naofumi Homma, Yu-ichi Hayashi, Noriyuki Miura, Daisuke Fujimoto, Daichi Tanaka, Makoto Nagata, Takafumi Aoki

    IACR Cryptology ePrint Archive Vol. 2014 2014年

  169. A 0.15-mm-Thick Noncontact Connector for MIPI Using a Vertical Directional Coupler

    Atsutake Kosuge, Wataru Mizuhara, Tsunaaki Shidei, Tsutomu Takeya, Noriyuki Miura, Masao Taguchi, Hiroki Ishikuro, Tadahiro Kuroda

    IEEE JOURNAL OF SOLID-STATE CIRCUITS Vol. 49 No. 1 p. 223-231 2014年1月 研究論文(学術雑誌)

  170. A Passive Supply-Resonance Suppression Filter Utilizing Inductance-Enhanced Coupled Bonding-Wire Coils

    Taisuke Hayashi, Noriyuki Miura, Kumpei Yoshikawa, Makoto Nagata

    2014 INTERNATIONAL SYMPOSIUM ON VLSI DESIGN, AUTOMATION AND TEST (VLSI-DAT) Vol. #DR52 p. 121-124 2014年 研究論文(国際会議プロシーディングス)

  171. Side-Channel Leakage on Silicon Substrate of CMOS Cryptographic Chip

    Daisuke Fujimoto, Daichi Tanaka, Noriyuki Miura, Makoto Nagata, Yu-ichi Hayashi, Naofumi Homma, Shivam Bhasin, Jean-Luc Danger

    2014 IEEE INTERNATIONAL SYMPOSIUM ON HARDWARE-ORIENTED SECURITY AND TRUST (HOST) Vol. #3-3 p. 32-37 2014年 研究論文(国際会議プロシーディングス)

  172. In-Stack Monitoring of Signal and Power Nodes in Three Dimensional Integrated Circuits

    Yuuki Araga, Ranto Miura, Nao Ueda, Noriyuki Miura, Makoto Nagata

    2014 INTERNATIONAL SYMPOSIUM ON ELECTROMAGNETIC COMPATIBILITY, TOKYO (EMC'14/TOKYO) Vol. 14P2-B1 p. 362-365 2014年 研究論文(国際会議プロシーディングス)

  173. Correlation Power Analysis using Bit-Level Biased Activity Plaintexts against AES Cores with Countermeasures

    Daisuke Fujimoto, Noriyuki Miura, Makoto Nagata, Yuichi Hayashi, Naofumi Homma, Takafumi Aoki, Yohei Hori, Toshihiro Katashita, Kazuo Sakiyama, Thanh-Ha Le, Julien Bringer, Pirouz Bazargan-Sabet, Shivam Bhasin, Jean-Luc Danger

    2014 INTERNATIONAL SYMPOSIUM ON ELECTROMAGNETIC COMPATIBILITY, TOKYO (EMC'14/TOKYO) Vol. #14P2-A3 p. 306-309 2014年 研究論文(国際会議プロシーディングス)

  174. A local EM-analysis attack resistant cryptographic engine with fully-digital oscillator-based tamper-access sensor

    Noriyuki Miura, Daisuke Fujimoto, Daichi Tanaka, Yu-Ichi Hayashi, Naofumi Homma, Takafumi Aoki, Makoto Nagata

    IEEE Symposium on VLSI Circuits, Digest of Technical Papers Vol. #16.4 p. 172-173 2014年 研究論文(国際会議プロシーディングス)

    出版者・発行元:Institute of Electrical and Electronics Engineers Inc.
  175. Integrated-Circuit Countermeasures Against Information Leakage Through EM Radiation

    Noriyuki Miura, Daisuke Fujimoto, Yu-ichi Hayashi, Naofumi Homma, Takafumi Aoki, Makoto Nagata

    2014 IEEE INTERNATIONAL SYMPOSIUM ON ELECTROMAGNETIC COMPATIBILITY (EMC) Vol. #TH-AM-3-3 p. 748-751 2014年 研究論文(国際会議プロシーディングス)

  176. EM Attack Is Non-invasive? - Design Methodology and Validity Verification of EM Attack Sensor

    Naofumi Homma, Yu-ichi Hayashi, Noriyuki Miura, Daisuke Fujimoto, Daichi Tanaka, Makoto Nagata, Takafumi Aoki

    CRYPTOGRAPHIC HARDWARE AND EMBEDDED SYSTEMS - CHES 2014 Vol. 8731 No. LNCS 8731 p. 1-16 2014年 研究論文(国際会議プロシーディングス)

  177. On-Chip Monitoring for In-Place Diagnosis of Undesired Power Domain Problems in IC Chips

    Makoto Nagata, Daisuke Fujimoto, Noriyuki Miura

    2014 IEEE 23RD ASIAN TEST SYMPOSIUM (ATS) Vol. #6C-3 p. 258-262 2014年 研究論文(国際会議プロシーディングス)

  178. An Intermittent-Driven Supply-Current Equalizer for 11x and 4x Power-Overhead Savings in CPA-Resistant 128bit AES Cryptographic Processor

    Noriyuki Miura, Daisuke Fujimoto, Rie Korenaga, Kohei Matsuda, Makoto Nagata

    2014 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE (A-SSCC) Vol. #14-5 p. 225-228 2014年 研究論文(国際会議プロシーディングス)

  179. On-Chip Power Noise Measurements of Cryptographic VLSI Circuits and Interpretation for Side-Channel Analysis

    FUJIMOTO Daisuke, MIURA Noriyuki, NAGATA Makoto, HAYASHI Yuichi, HOMMA Naofumi, HORI Yohei, KATASHITA Toshihiro, SAKIYAMA Kazuo, Thanh-Ha Le, Julien Bringer, Pirouz Bazargan-Sabet, Jean-Luc Danger

    2013 IEEE International Symposium on Electromagnetic Compatibility in Europe (EMC Europe 2013) p. 405-410 2013年9月 研究論文(国際会議プロシーディングス)

    出版者・発行元:IEEE
  180. Emulation of High Frequency Substrate Noise in CMOS Digital Circuits with Effects of Adjusting Clock Skew

    SHIMAZAKI Shunsuke, TAGA Shota, MAKITA Tetsuya, AZUMA Naoya, MIURA Noriyuki, NAGATA Makoto

    Extended Abstracts of the 2013 International Conference on Solid State Devices and Materials (SSDM 2013) Vol. #PS-5-5 2013年9月 研究論文(国際会議プロシーディングス)

    出版者・発行元:IEEE
  181. A 12-Gb/s Non-Contact Interface With Coupled Transmission Lines

    Tsutomu Takeya, Lan Nan, Shinya Nakano, Noriyuki Miura, Hiroki Ishikuro, Tadahiro Kuroda

    IEEE JOURNAL OF SOLID-STATE CIRCUITS Vol. 48 No. 3 p. 790-800 2013年3月 研究論文(学術雑誌)

  182. A 12.5Gb/s/Link Non-Contact Multi Drop Bus System with Impedance-Matched Transmission Line Couplers and Dicode Partial-Response Channel Transceivers

    Atsutake Kosuge, Wataru Mizuhara, Noriyuki Miura, Masao Taguchi, Hiroki Ishikuro, Tadahiro Kuroda

    2013 18TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC) p. 91-92 2013年 研究論文(国際会議プロシーディングス)

  183. Demonstration of a Heterogeneous Multi-Core Processor with 3-D Inductive Coupling Links

    Yusuke Koizumi, Noriyuki Miura, Yasuhiro Take, Hiroki Matsutani, Tadahiro Kuroda, Hideharu Amano, Ryuichi Sakamoto, Mitaro Namiki, Kimiyoshi Usami, Masaaki Kondo, Hiroshi Nakamura

    2013 23RD INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE LOGIC AND APPLICATIONS (FPL 2013) PROCEEDINGS 2013年 研究論文(国際会議プロシーディングス)

  184. A 0.15mm-thick non-contact connector for MIPI using vertical directional coupler

    Wataru Mizuhara, Tsunaaki Shidei, Atsutake Kosuge, Tsutomu Takeya, Noriyuki Miura, Masao Taguchi, Hiroki Ishikuro, Tadahiro Kuroda

    Digest of Technical Papers - IEEE International Solid-State Circuits Conference Vol. 56 p. 200-201 2013年 研究論文(国際会議プロシーディングス)

  185. 3D Clock Distribution Using Vertically/Horizontally-Coupled Resonators

    Yasuhiro Take, Noriyuki Miura, Hiroki Ishikuro, Tadahiro Kuroda

    2013 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE DIGEST OF TECHNICAL PAPERS (ISSCC) Vol. 56 p. 258-+ 2013年 研究論文(国際会議プロシーディングス)

  186. A Scalable 3D Heterogeneous Multi-Core Processor with Inductive-Coupling ThruChip Interface

    Noriyuki Miura, Yusuke Koizumi, Eiichi Sasaki, Yasuhiro Take, Hiroki Matsutani, Tadahiro Kuroda, Hideharu Amano, Ryuichi Sakamoto, Mitaro Namiki, Kimiyoshi Usami, Masaaki Kondo, Hiroshi Nakamura

    2013 IEEE COOL CHIPS XVI (COOL CHIPS) p. 1-3 2013年 研究論文(国際会議プロシーディングス)

  187. Power-Noise Measurements of Small-Scale Inverter Chains

    Yuji Harada, Kumpei Yoshikawa, Noriyuki Miura, Makoto Nagata, Akitaka Murata, Syuji Agatsuma, Kouji Ichikawa

    2013 IEEE INTERNATIONAL MEETING FOR FUTURE OF ELECTRON DEVICES, KANSAI (IMFEDK2013) Vol. #PS-03 p. 102-103 2013年 研究論文(国際会議プロシーディングス)

  188. Measurements and Simulation of Substrate Noise Coupling in RF ICs with CMOS Digital Noise Emulator

    N. Azuma, S. Shimazaki, N. Miura, M. Nagata, T. Kitamura, S. Takahashi, M. Murakami, K. Hori, A. Nakamura, K. Tsukamoto, M. Iwanami, E. Hankui, S. Muroga, Y. Endo, S. Tanaka, M. Yamaguchi

    2013 9TH INTERNATIONAL WORKSHOP ON ELECTROMAGNETIC COMPATIBILITY OF INTEGRATED CIRCUITS (EMC COMPO 2013) Vol. #SS-4 p. 42-46 2013年 研究論文(国際会議プロシーディングス)

  189. Immunity evaluation of inverter chains against RF power on power delivery network

    Kumpei Yoshikawa, Yuji Harada, Noriyuki Miura, Noriaki Takeda, Yoshiyuki Saito, Makoto Nagata

    EMC COMPO 2013 Proceedings - 9th International Workshop on Electromagnetic Compatibility of Integrated Circuits Vol. #IM1-4 p. 232-237 2013年 研究論文(国際会議プロシーディングス)

    出版者・発行元:IEEE Computer Society
  190. Rotary Coding for Power Reduction and S/N Improvement in Inductive-Coupling Data Communication

    Andrzej Radecki, Noriyuki Miura, Hiroki Ishikuro, Tadahiro Kuroda

    IEEE JOURNAL OF SOLID-STATE CIRCUITS Vol. 47 No. 11 p. 2643-2653 2012年11月 研究論文(学術雑誌)

  191. Simultaneous 6-Gb/s Data and 10-mW Power Transmission Using Nested Clover Coils for Noncontact Memory Card

    Andrzej Radecki, Yuxiang Yuan, Noriyuki Miura, Iori Aikawa, Yasuhiro Take, Hiroki Ishikuro, Tadahiro Kuroda

    IEEE JOURNAL OF SOLID-STATE CIRCUITS Vol. 47 No. 10 p. 2484-2495 2012年10月 研究論文(学術雑誌)

  192. A 0.025-0.45 W 60%-Efficiency Inductive-Coupling Power Transceiver With 5-Bit Dual-Frequency Feedforward Control for Non-Contact Memory Cards

    Hayun Chung, Andrzej Radecki, Noriyuki Miura, Hiroki Ishikuro, Tadahiro Kuroda

    IEEE JOURNAL OF SOLID-STATE CIRCUITS Vol. 47 No. 10 p. 2496-2504 2012年10月 研究論文(学術雑誌)

  193. Analysis and Design of Coil with Feed Line for ThruChip Interface

    SAITO Mitsuko, MIURA Noriyuki, KURODA Tadahiro

    International Conference on Solid-State Devices and Materials Extended Abstracts p. 1160-1161 2012年9月 研究論文(国際会議プロシーディングス)

  194. A 65fJ/b Inter-Chip Inductive-Coupling Data Transceivers Using Charge-Recycling Technique for Low-Power Inter-Chip Communication in 3-D System Integration

    Kiichi Niitsu, Shusuke Kawai, Noriyuki Miura, Hiroki Ishikuro, Tadahiro Kuroda

    IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS Vol. 20 No. 7 p. 1285-1294 2012年7月 研究論文(学術雑誌)

  195. A 1 TB/s 1 pJ/b 6.4 mm(2)/TB/s QDR Inductive-Coupling Interface Between 65-nm CMOS Logic and Emulated 100-nm DRAM

    Noriyuki Miura, Mitsuko Saito, Tadahiro Kuroda

    IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS Vol. 2 No. 2 p. 249-256 2012年6月 研究論文(学術雑誌)

  196. Asynchronous Pulse Transmitter for Power Reduction in Inductive-Coupling Link

    SAITO Mitsuko, MIURA Noriyuki, KURODA Tadahiro

    Japanese Journal of Applied Physics Vol. 51 No. 2 2012年4月 研究論文(学術雑誌)

  197. 6 W/25 mm(2) Wireless Power Transmission for Non-contact Wafer-Level Testing

    Andrzej Radecki, Hayun Chung, Yoichi Yoshida, Noriyuki Miura, Tsunaaki Shidei, Hiroki Ishikuro, Tadahiro Kuroda

    IEICE TRANSACTIONS ON ELECTRONICS Vol. E95C No. 4 p. 668-676 2012年4月 研究論文(学術雑誌)

  198. Simultaneous Data and Power Transmission using Nested Clover Coils

    Yasuhiro Take, Hayun Chung, Noriyuki Miura, Tadahiro Kuroda

    2012 17TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC) p. 555-556 2012年 研究論文(国際会議プロシーディングス)

  199. DYNAMIC POWER CONTROL WITH A HETEROGENEOUS MULTI-CORE SYSTEM USING A 3-D WIRELESS INDUCTIVE COUPLING INTERCONNECT

    Yusuke Koizumi, Hideharu Amano, Hiroki Matsutani, Noriyuki Miura, Tadahiro Kuroda, Ryuichi Sakamoto, Mitaro Namik, Kimiyoshi Usami, Masaaki Kondo, Hiroshi Nakamura

    2012 INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY (FPT'12) p. 293-296 2012年 研究論文(国際会議プロシーディングス)

  200. A 7Gb/s/link non-contact memory module for multi-drop bus system using energy-equipartitioned coupled transmission line

    Won-Joo Yun, Shinya Nakano, Wataru Mizuhara, Atsutake Kosuge, Noriyuki Miura, Hiroki Ishikuro, Tadahiro Kuroda

    Digest of Technical Papers - IEEE International Solid-State Circuits Conference Vol. 55 p. 52-53 2012年 研究論文(国際会議プロシーディングス)

  201. A 5.184Gbps/ch through-chip interface and automated place-and-route design methodology for 3-D integration of 45nm CMOS processors

    Yasuhisa Shimazaki, Noriyuki Miura, Tadahiro Kuroda

    Symposium on Low-Power and High-Speed Chips - Proceedings for 2012 IEEE COOL Chips XV 2012年 研究論文(国際会議プロシーディングス)

  202. A 12.5Gb/s/Link Non-Contact Multi Drop Bus System with Impedance-Matched Transmission Line Couplers and Dicode Partial-Response Channel Transceivers

    Atsutake Kosuge, Wataru Mizuhara, Noriyuki Miura, Masao Taguchi, Hiroki Ishikuro, Tadahiro Kuroda

    2012 IEEE CUSTOM INTEGRATED CIRCUITS CONFERENCE (CICC) p. 8.6.1-8.6.4 2012年 研究論文(国際会議プロシーディングス)

  203. A 12.5Gb/s/Link Non-Contact Multi Drop Bus System with Impedance-Matched Transmission Line Couplers and Dicode Partial-Response Channel Transceivers

    Atsutake Kosuge, Wataru Mizuhara, Noriyuki Miura, Masao Taguchi, Hiroki Ishikuro, Tadahiro Kuroda

    2012 IEEE CUSTOM INTEGRATED CIRCUITS CONFERENCE (CICC) p. 91-92 2012年 研究論文(国際会議プロシーディングス)

  204. A 30 Gb/s/Link 2.2 Tb/s/mm(2) Inductively-Coupled Injection-Locking CDR for High-Speed DRAM Interface

    Yasuhiro Take, Noriyuki Miura, Tadahiro Kuroda

    IEEE JOURNAL OF SOLID-STATE CIRCUITS Vol. 46 No. 11 p. 2552-2559 2011年11月 研究論文(学術雑誌)

  205. Asynchronous Pulse Transmitter for Power Reduction in ThruChip Interface

    M. Saito, N. Miura, T. Kuroda

    International Conference on Solid State Devices and Materials Extended Abstracts p. 1075-1076 2011年9月 研究論文(国際会議プロシーディングス)

  206. Rotary coding for power reduction and S/N improvement in inductive-coupling data communication

    A. Radecki, N. Miura, H. Ishikuro, T. Kuroda

    2011 Proceedings of Technical Papers: IEEE Asian Solid-State Circuits Conference 2011, A-SSCC 2011 p. 205-208 2011年 研究論文(国際会議プロシーディングス)

  207. A 12Gb/s non-contact interface with coupled transmission lines

    Tsutomu Takeya, Lan Nan, Shinya Nakano, Noriyuki Miura, Hiroki Ishikuro, Tadahiro Kuroda

    Digest of Technical Papers - IEEE International Solid-State Circuits Conference p. 492-493 2011年 研究論文(国際会議プロシーディングス)

  208. 6W/25mm2 inductive power transfer for non-contact wafer-level testing

    Andrzej Radecki, Hayun Chung, Yoichi Yoshida, Noriyuki Miura, Tsunaaki Shidei, Hiroki Ishikuro, Tadahiro Kuroda

    Digest of Technical Papers - IEEE International Solid-State Circuits Conference p. 230-231 2011年 研究論文(国際会議プロシーディングス)

  209. 47% Power Reduction and 91% Area Reduction in Inductive-Coupling Programmable Bus for NAND Flash Memory Stacking

    Mitsuko Saito, Yoichi Yoshida, Noriyuki Miura, Hiroki Ishikuro, Tadahiro Kuroda

    IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS Vol. 57 No. 9 p. 2269-2278 2010年9月 研究論文(学術雑誌)

  210. A 0.45V-to-2.7V inductive-coupling level shifter

    Keita Takatsu, Kiichi Niitsu, Tsunaaki Shidei, Noriyuki Miura, Tadahiro Kuroda

    2010 IEEE Asian Solid-State Circuits Conference, A-SSCC 2010 p. 205-208 2010年 研究論文(国際会議プロシーディングス)

  211. A 30Gb/s/link 2.2Tb/s/mm2 inductively-coupled injection-locking CDR

    Yasuhiro Take, Noriyuki Miura, Tadahiro Kuroda

    2010 IEEE Asian Solid-State Circuits Conference, A-SSCC 2010 p. 81-84 2010年 研究論文(国際会議プロシーディングス)

  212. Simultaneous 6Gb/s Data and 10mW Power Transmission using Nested Clover Coils for Non-Contact Memory Card

    Yuxiang Yuan, Andrzej Radecki, Noriyuki Miura, Iori Aikawa, Yasuhiro Take, Hiroki Ishikuro, Tadahiro Kuroda

    2010 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS p. 199-200 2010年 研究論文(国際会議プロシーディングス)

  213. A 0.7V 20fJ/bit Inductive-Coupling Data Link with Dual-Coil Transmission Scheme

    Noriyuki Miura, Tsunaaki Shidei, Yuan Yuxiang, Shusuke Kawai, Keita Takatsu, Yuji Kiyota, Yuichi Asano, Tadahiro Kuroda

    2010 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS p. 201-202 2010年 研究論文(国際会議プロシーディングス)

  214. A 2Gb/s 1.8pJ/b/chip inductive-coupling through-chip bus for 128-die NAND-flash memory stacking

    Mitsuko Saito, Noriyuki Miura, Tadahiro Kuroda

    Digest of Technical Papers - IEEE International Solid-State Circuits Conference Vol. 53 p. 440-441 2010年 研究論文(国際会議プロシーディングス)

  215. 2 Gb/s 15 pJ/b/chip Inductive-Coupling Programmable Bus for NAND Flash Memory Stacking

    Mitsuko Saito, Yasufumi Sugimori, Yoshinori Kohama, Yoichi Yoshida, Noriyuki Miura, Hiroki Ishikuro, Takayasu Sakurai, Tadahiro Kuroda

    IEEE JOURNAL OF SOLID-STATE CIRCUITS Vol. 45 No. 1 p. 134-141 2010年1月 研究論文(学術雑誌)

  216. Electromagnetic Interference and Susceptibility in Inductive-Coupling Link

    K. Kasuga, N. Miura, Y. Yuan, H. Ishikuro, T. Kuroda

    SSDM, Nov. 2009 p. 62-63 2009年10月 研究論文(国際会議プロシーディングス)

  217. A High-Speed Inductive-Coupling Link With Burst Transmission

    Noriyuki Miura, Yoshinori Kohama, Yasfumi Sugimori, Hiroki Ishikuro, Takayasu Sakurai, Tadahiro Kuroda

    IEEE JOURNAL OF SOLID-STATE CIRCUITS Vol. 44 No. 3 p. 947-955 2009年3月 研究論文(学術雑誌)

  218. An Extended XY Coil for Noise Reduction in Inductive-Coupling Link

    Mitsuko Saito, Kazutaka Kasuga, Tsutomu Takeya, Noriyuki Miura, Tadahiro Kuroda

    2009 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE (A-SSCC) p. 305-308 2009年 研究論文(国際会議プロシーディングス)

  219. A Wafer Test Method of Inductive-Coupling Link

    Kazutaka Kasuga, Mitsuko Saito, Tsutomu Takeya, Noriyuki Miura, Hiroki Ishikuro, Tadahiro Kuroda

    2009 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE (A-SSCC) p. 301-304 2009年 研究論文(国際会議プロシーディングス)

  220. MUCCRA-CUBE: A 3D DYNAMICALLY RECONFIGURABLE PROCESSOR WITH INDUCTIVE-COUPLING LINK

    S. Saito, Y. Kohama, Y. Sugimori, Y. Hasegawa, H. Matsutani, T. Sano, K. Kasuga, Y. Yoshida, K. Niitsu, N. Miura, T. Kuroda, H. Amano

    FPL: 2009 INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE LOGIC AND APPLICATIONS p. 6-11 2009年 研究論文(国際会議プロシーディングス)

  221. 47% Power Reduction and 91% Area Reduction in Inductive-Coupling Programmable Bus for NAND Flash Memory Stacking

    Mitsuko Saito, Yasufumi Sugimori, Yoshinori Kohama, Yoichi Yoshida, Noriyuki Miura, Hiroki Ishikuro, Tadahiro Kuroda

    PROCEEDINGS OF THE IEEE 2009 CUSTOM INTEGRATED CIRCUITS CONFERENCE p. 449-452 2009年 研究論文(国際会議プロシーディングス)

  222. A Scalable 3D Processor by Homogeneous Chip Stacking with Inductive-Coupling Link

    Yoshinori Kohama, Yasufumi Sugimori, Shotaro Saito, Yohei Hasegawa, Toru Sano, Kazutaka Kasuga, Yoichi Yoshida, Kiichi Niitsu, Noriyuki Miura, Hideharu Amano, Tadahiro Kuroda

    2009 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS p. 94-95 2009年 研究論文(国際会議プロシーディングス)

  223. Digital Rosetta Stone: A Sealed Permanent Memory with Inductive-Coupling Power and Data Link

    Yuan Yuxiang, Noriyuki Miura, Shigeki Imai, Hiroyuki Ochi, Tadahiro Kuroda

    2009 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS p. 26-+ 2009年 研究論文(国際会議プロシーディングス)

  224. A 2Gb/s 15pJ/b/chip inductive-coupling programmable bus for NAND flash memory stacking

    Yasufumi Sugimori, Yoshinori Kohama, Mitsuko Saito, Yoichi Yoshida, Noriyuki Miura, Hiroki Ishikuro, Takayasu Sakurai, Tadahiro Kuroda

    Digest of Technical Papers - IEEE International Solid-State Circuits Conference p. 244-245 2009年 研究論文(国際会議プロシーディングス)

  225. A 2 Gb/s Bi-Directional Inter-Chip Data Transceiver With Differential Inductors for High Density Inductive Channel Array

    Yoichi Yoshida, Noriyuki Miura, Tadahiro Kuroda

    IEEE JOURNAL OF SOLID-STATE CIRCUITS Vol. 43 No. 11 p. 2363-2369 2008年11月 研究論文(学術雑誌)

  226. Low-Power and wideband inductive-coupling communication for 3D integration

    Noriyuki Miura, Tadahiro Kuroda

    Journal of Japan Institute of Electronics Packaging Vol. 11 No. 3 p. 174-181 2008年5月 研究論文(学術雑誌)

  227. Capacitor-shunted transmitter for power reduction in inductive-coupling clock link

    Amit Kumar, Noriyuki Miura, Tadahiro Kuroda

    JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 47 No. 4 p. 2749-2751 2008年4月 研究論文(学術雑誌)

  228. A 65 fJ/b Inductive-Coupling Inter-Chip Transceiver Using Charge Recycling Technique for Power-Aware 3D System Integration

    Kiichi Niitsu, Shusuke Kawaj, Noriyuki Miura, Hiroki Ishikuro, Tadahiro Kuroda

    2008 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE p. 97-100 2008年 研究論文(国際会議プロシーディングス)

  229. Constant magnetic field scaling in inductive-coupling data link

    Daisuke Mizoguchi, Noriyuki Miura, Hiroki Ishikuro, Tadahiro Kuroda

    IEICE Transactions on Electronics Vol. E91-C No. 2 p. 200-205 2008年 研究論文(学術雑誌)

    出版者・発行元:Institute of Electronics, Information and Communication, Engineers, IEICE
  230. A 0.14 pJ/b inductive-coupling transceiver with digitally-controlled precise pulse shaping

    Noriyuki Miura, Hirok Ishikuro, Kiichi Niitsu, Takayasu Sakurai, Tadahiro Kuroda

    IEEE JOURNAL OF SOLID-STATE CIRCUITS Vol. 43 No. 1 p. 285-291 2008年1月 研究論文(学術雑誌)

  231. Capacitor-Shunted Transmitter for Power Reduction in Inductive-Coupling Clock Link

    A. Kumar, N. Miura, T. Kuroda

    International Conference on Solid State Devices and Materials Extended Abstracts p. 1068-1069 2007年9月 研究論文(国際会議プロシーディングス)

  232. Inductive-Coupling Transceiver for 3D System Integration

    N. Miura, T. Kuroda

    Proceedings of International Conference on Integrated Circuit Design and Technology p. 1-4 2007年6月 研究論文(国際会議プロシーディングス)

  233. 60% Power reduction in inductive-coupling inter-chip link by current-sensing technique

    Kiichi Niitsu, Noriyuki Miura, Mari Inoue, Yoshihiro Nakagawa, Masamoto Tago, Masayuki Mizuno, Hiroki Ishikuro, Tadahiro Kuroda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS Vol. 46 No. 4B p. 2215-2219 2007年4月 研究論文(学術雑誌)

  234. Daisy chain transmitter for power reduction in inductive-coupling CMOS link

    Kiichi Niitsu, Noriyuki Miura, Mari Inoue, Yoshihiro Nakagawa, Masamoto Tago, Masayuki Mizuno, Takayasu Sakurai, Tadahiro Kuroda

    IEICE TRANSACTIONS ON ELECTRONICS Vol. E90C No. 4 p. 829-835 2007年4月 研究論文(学術雑誌)

  235. Crosstalk countermeasures for high-density inductive-coupling channel array

    Noriyuki Miura, Takayasu Sakurai, Tadahiro Kuroda

    IEEE JOURNAL OF SOLID-STATE CIRCUITS Vol. 42 No. 2 p. 410-421 2007年2月 研究論文(学術雑誌)

  236. A 2Gb/s bi-directional inter-chip data transceiver with differential inductors for high density inductive channel array

    Yoichi Yoshida, Noriyuki Miura, Tadahlro Kuroda

    2007 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE, PROCEEDINGS OF TECHNICAL PAPERS p. 127-130 2007年 研究論文(国際会議プロシーディングス)

  237. Wideband inductive-coupling interface for high-performance portable system

    Hiroki Ishikuro, Noriyuki Miura, Tadahiro Kuroda

    PROCEEDINGS OF THE IEEE 2007 CUSTOM INTEGRATED CIRCUITS CONFERENCE p. 13-+ 2007年 研究論文(国際会議プロシーディングス)

  238. A 1Tb/s 3W inductive-coupling transceiver chip

    MIURA N.

    Asia and South Pacific Design Automation Conf. (ASP-DAC), Jan. 2007 p. 92-93 2007年1月 研究論文(国際会議プロシーディングス)

  239. A 1 Tb/s 3 W inductive-coupling transceiver for 3D-stacked inter-chip clock and data link

    Noriyuki Miura, Daisuke Mizoguchi, Mari Inoue, Kiichi Niitsu, Yoshihiro Nakagawa, Masamoto Tago, Muneo Fukaishi, Takayasu Sakurai, Tadahiro Kuroda

    IEEE JOURNAL OF SOLID-STATE CIRCUITS Vol. 42 No. 1 p. 111-122 2007年1月 研究論文(学術雑誌)

  240. 1Tb/s 3W Inductive-Coupling Transceiver IP for 3D-Stacked SiP

    N. Miura, Y. Nakagawa, M. Tago, M. Fukaishi, T. Sakurai, T. Kuroda

    Proceedings of IP Based SoC Design Conference p. 83-88 2006年12月 研究論文(国際会議プロシーディングス)

  241. A 1Tb/s 3W Inductive-Coupling Transceiver for 3D ICs

    N. Miura, T. Kuroda

    Proceedings of International PhD Student Workshop on SoC p. 97-100 2006年7月 研究論文(国際会議プロシーディングス)

  242. Daisy Chain for Power Reduction in Inductive-Coupling CMOS Link

    M. Inoue, N. Miura, K. Niitsu, Y. Nakagawa, M. Tago, M. Fukaishi, T. Sakurai, T. Kuroda

    IEEE Symposium on VLSI Circuits Digest of Technical Papers p. 80-81 2006年6月 研究論文(国際会議プロシーディングス)

  243. Measurement of inductive coupling in wireless superconnect

    D Mizoguchi, N Miura, Y Yoshida, N Yamagishi, T Kuroda

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS Vol. 45 No. 4B p. 3286-3289 2006年4月 研究論文(学術雑誌)

  244. Perspective of low-power and high-speed wireless inter-chip communications for SiP integration

    Tadahiro Kuroda, Noriyuki Miura

    ESSCIRC 2006: PROCEEDINGS OF THE 32ND EUROPEAN SOLID-STATE CIRCUITS CONFERENCE p. 3-+ 2006年 研究論文(国際会議プロシーディングス)

  245. Perspective of low-power and high-speed wireless inter-chip communications for SiP integration

    Tadahiro Kuroda, Noriyuki Miura

    ESSDERC 2006: PROCEEDINGS OF THE 36TH EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE p. 3-+ 2006年 研究論文(国際会議プロシーディングス)

  246. Active crosstalk cancel for high-density inductive inter-chip wireless communication

    Amit Kumar, Noriyuki Miura, Muhammad Muqsith, Tadahiro Kuroda

    Proceedings of the IEEE International Conference on VLSI Design Vol. 2006 p. 271-276 2006年 研究論文(国際会議プロシーディングス)

  247. A 1.2 Gbps non-contact 3D-stacked inter-chip data communications technology

    Daisuke Mizoguchi, Noriyuki Miura, Takayasu Sakurai, Tadahiro Kuroda

    IEICE Transactions on Electronics Vol. E89-C No. 3 p. 320-326 2006年 研究論文(学術雑誌)

    出版者・発行元:Institute of Electronics, Information and Communication, Engineers, IEICE
  248. Measurement of Inductive Coupling in Wireless Superconnect

    D. Mizoguchi, N. Miura, Y. Yoshida, N. Yamagishi, T. Kuroda

    International Conference on Solid State Devices and Materials Extended Abstracts p. 670-671 2005年9月 研究論文(国際会議プロシーディングス)

  249. A 195Gb/s 1.2W 30-stacked inductive inter-chip wireless superconnect with transmit power control scheme

    Noriyuki Miura, Daisuke Mizoguchi, Mari Inoue, Hiroo Tsuji, Takayasu Sakurai, Tadahiro Kuroda

    Digest of Technical Papers - IEEE International Solid-State Circuits Conference Vol. 48 p. 210-602 2005年 研究論文(国際会議プロシーディングス)

  250. Design of transceiver circuits for NRZ signaling in inductive inter-chip wireless superconnect

    D Mizoguchi, N Miura, M Inoue, T Kuroda

    2005 International Conference on Integrated Circuit Design and Technology p. 59-62 2005年 研究論文(国際会議プロシーディングス)

  251. Cross talk countermeasures in inductive inter-chip wireless superconnect

    N Miura, D Mizoguchi, T Sakurai, T Kuroda

    PROCEEDINGS OF THE IEEE 2004 CUSTOM INTEGRATED CIRCUITS CONFERENCE p. 99-102 2004年 研究論文(国際会議プロシーディングス)

  252. Analysis and design of transceiver circuit and inductor layout for inductive inter-chip wireless superconnect

    N Miura, D Mizoguchi, YB Yusof, T Sakurai, T Kuroda

    2004 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS p. 246-249 2004年 研究論文(国際会議プロシーディングス)

  253. A 1.2Gb/s/pin wireless superconnect based on inductive inter-chip signaling (IIS)

    D Mizoguchi, YB Yusof, N Miura, SJ Takayasu, T Kuroda

    2004 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE, DIGEST OF TECHNICAL PAPERS Vol. 47 p. 142-143 2004年 研究論文(国際会議プロシーディングス)

  254. Practical methodology of post-layout gate sizing for 15% Moire power saving

    N Miura, N Kato, T Kuroda

    ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE p. 434-437 2004年 研究論文(国際会議プロシーディングス)

MISC 25

  1. 乗法的オフセットに基づく高効率AESハードウェアアーキテクチャの設計

    上野嶺, 森岡澄夫, 三浦典之, 松田航平, 永田真, Shivam Bhasin, Yves Mathieu, Tarik Graba, Jean-Luc Danger, 本間尚文

    セキュリティーサマーサミット2019 No. B-5 2019年7月 研究発表ペーパー・要旨(全国大会,その他学術会議)

  2. レーザーフォールト攻撃対策である電源遮断回路実装時のサイドチャネル耐性評価

    郡 義弘, 藤本大介, 林 優一, 三浦典之, 永田 真, 崎山一男

    2018年3月 研究発表ペーパー・要旨(全国大会,その他学術会議)

  3. インピーダンス計測に基づくICの周辺に実装されたHT検出手法の検討

    任 翔太, 藤本 大介, 林 優一, 三浦 典之, 永田 真, 松本 勉

    ハードウェアセキュリティフォーラム 2017 2017年12月15日 研究発表ペーパー・要旨(全国大会,その他学術会議)

  4. Introduction to the Special Section on the 2015 IEEE Asian Solid-State Circuits Conference (A-SSCC)

    Makoto Ikeda, Noriyuki Miura

    IEEE JOURNAL OF SOLID-STATE CIRCUITS Vol. 51 No. 10 p. 2207-2209 2016年10月 その他

  5. 新・回路レベルのEMC設計(13)半導体モジュールの電源供給系(PDN)特性チューニング

    永田 真, 谷口 綱紀, 三浦 典之

    EMC : electro magnetic compatibility : solution technology : 電磁環境工学情報 Vol. 28 No. 4 p. 109-115 2015年8月

    出版者・発行元:[科学情報出版]
  6. チップ内外での電源電圧取得によるサイドチャネル漏洩情報の一考察

    藤本 大介, 田中 大智, 三浦 典之, 永田 真, 林 優一, 本間 尚文, 青木 孝文, 堀 洋平, 片下 敏広, 﨑山 一男, Thanh-Ha Le, Julien Bringer, Pirouz Bazargan-Sabet, Shivam Bhasin, Jean-Luc Danger

    暗号と情報セキュリティシンポジウム 2014年1月

  7. SRAMの電源ノイズとイミュニティ

    永田 真, 吉川 薫平, 三浦 典之

    日本信頼性学会誌(REAJ) Vol. Vol. 35 No. 8 p. 441-441 2013年12月 記事・総説・解説・論説等(学術雑誌)

    出版者・発行元:日本信頼性学会
  8. 招待講演 縦横方向結合共振を用いた三次元クロック分配技術 (コンピュータシステム)

    竹 康宏, 三浦 典之, 石黒 仁揮, 黒田 忠広

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 Vol. 113 No. 324 p. 5-8 2013年11月27日

    出版者・発行元:一般社団法人電子情報通信学会
  9. 招待講演 縦横方向結合共振を用いた三次元クロック分配技術 (集積回路 デザインガイア2013 : VLSI設計の新しい大地)

    竹 康宏, 三浦 典之, 石黒 仁揮, 黒田 忠広

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 Vol. 113 No. 323 p. 59-62 2013年11月27日

    出版者・発行元:一般社団法人電子情報通信学会
  10. 招待講演 縦横方向結合共振を用いた三次元クロック分配技術 (ディペンダブルコンピューティング デザインガイア2013 : VLSI設計の新しい大地)

    竹 康宏, 三浦 典之, 石黒 仁揮, 黒田 忠広

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 Vol. 113 No. 321 p. 97-100 2013年11月27日

    出版者・発行元:一般社団法人電子情報通信学会
  11. 招待講演 縦横方向結合共振を用いた三次元クロック分配技術 (リコンフィギャラブルシステム)

    竹 康宏, 三浦 典之, 石黒 仁揮, 黒田 忠広

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 Vol. 113 No. 325 p. 17-20 2013年11月27日

    出版者・発行元:一般社団法人電子情報通信学会
  12. [招待講演]縦横方向結合共振を用いた三次元クロック分配技術

    竹 康宏, 三浦 典之, 石黒 仁揮, 黒田 忠広

    研究報告システムLSI設計技術(SLDM) Vol. 2013 No. 18 p. 1-4 2013年11月20日

  13. サイドチャネル攻撃評価のための電源ノイズモデル

    藤本 大介, 三浦 典之, 永田 真

    電磁環境工学情報(EMC) Vol. No. 306 No. 6 p. 31-39 2013年10月 記事・総説・解説・論説等(学術雑誌)

    出版者・発行元:科学技術出版
  14. ワイヤレス三次元積層マルチコアプロセッサCube-1の実機評価(ハードウェア設計・プロセッサ,ユーザを支えるコンピューティング及び一般-パーソナル機器からスマートシティまで-)

    天野 英晴, 小泉 佑介, 三浦 典之, 竹 康宏, 松谷 宏紀, 黒田 忠広, 坂本 龍一, 並木 美太郎, 宇佐美 公良, 近藤 正章, 中村 宏

    電子情報通信学会技術研究報告. CPSY, コンピュータシステム Vol. 113 No. 234 p. 13-18 2013年9月26日

    出版者・発行元:一般社団法人電子情報通信学会
  15. 招待講演 方向性結合器を用いた携帯機器用途向け0.15mm厚非接触コネクタ (シリコン材料・デバイス)

    小菅 敦丈, 水原 渉, 四手井 綱章, 竹谷 勉, 三浦 典之, 田口 眞男, 石黒 仁揮, 黒田 忠広

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 Vol. 113 No. 172 p. 35-40 2013年8月1日

    出版者・発行元:一般社団法人電子情報通信学会
  16. 招待講演 方向性結合器を用いた携帯機器用途向け0.15mm厚非接触コネクタ (集積回路)

    小菅 敦丈, 水原 渉, 四手井 綱章, 竹谷 勉, 三浦 典之, 田口 眞男, 石黒 仁揮, 黒田 忠広

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 Vol. 113 No. 173 p. 35-40 2013年8月1日

    出版者・発行元:一般社団法人電子情報通信学会
  17. 招待講演 非接触メモリーカードの待機電力削減のための誘導結合型ウェイクアップトランシーバ (集積回路)

    三浦 典之, 齋藤 美都子, 田口 眞男, 黒田 忠広

    電子情報通信学会技術研究報告 : 信学技報 Vol. 113 No. 1 p. 11-14 2013年4月11日

    出版者・発行元:一般社団法人電子情報通信学会
  18. メモリインターフェース用非接触高速データ伝送技術

    石黒 仁揮, 尹 元柱, 中野 慎也, 水原 渉, 小菅 敦丈, 三浦 典之, 黒田 忠広

    電子情報通信学会技術研究報告. ICD, 集積回路 Vol. 112 No. 15 p. 31-36 2012年4月16日

    出版者・発行元:一般社団法人電子情報通信学会
  19. 慶應黒田研究室ISSCC論文ができるまで

    三浦 典之

    電子情報通信学会技術研究報告. ICD, 集積回路 Vol. 110 No. 344 p. 7-12 2010年12月9日

    出版者・発行元:一般社団法人電子情報通信学会
  20. 依頼講演 Digital Rosetta Stone: a sealed permanent memory with inductive-coupling power and data link (集積回路)

    Yuan Yuxiang, 三浦 典之, Imai Shigeki, OCHIA Hiroyuki, KURODA Tadahiro

    電子情報通信学会技術研究報告. ICD, 集積回路 Vol. 110 No. 9 p. 103-105 2010年4月15日

    出版者・発行元:一般社団法人電子情報通信学会
  21. 65nm CMOS GPU-0.1μm DRAM間8Tb/s 1pJ/b 0.8mm^2/Tb/sQDR誘導結合インタフェース

    三浦 典之, 春日 一貴, 齊藤 美都子, 黒田 忠広

    電子情報通信学会技術研究報告. ICD, 集積回路 Vol. 110 No. 9 p. 93-97 2010年4月15日

    出版者・発行元:一般社団法人電子情報通信学会
  22. 低消費電力3次元システム集積に向けた65fJ/b誘導結合トランシーバ

    新津 葵一, 三浦 典之, 川井 秀介, 石黒 仁揮, 黒田 忠広

    電子情報通信学会技術研究報告. ICD, 集積回路 Vol. 108 No. 347 p. 59-59 2008年12月4日

    出版者・発行元:一般社団法人電子情報通信学会
  23. 0.14pJ/b誘導結合トランシーバ

    三浦 典之, 石黒 仁揮, 桜井 貴康, 黒田 忠広

    電子情報通信学会技術研究報告. ICD, 集積回路 Vol. 107 No. 1 p. 65-69 2007年4月5日

    出版者・発行元:一般社団法人電子情報通信学会
  24. 60% Power Reduction in Inductive-Coupling Inter-Chip Link by Current-Sensing Technique

    NIITSU Kiichi, MIURA Noriyuki, INOUE Mari, NAKAGAWA Yoshihiro, TAGO Masamoto, FUKAISHI Muneo, ISHIKURO Hiroki, KURODA Tadahiro

    Extended abstracts of the ... Conference on Solid State Devices and Materials Vol. 2006 p. 64-65 2006年9月13日

  25. A 1.2Gb/s/pin Wireless Superconnect Based on Inductive Inter-Chip Signaling (VLSI一般(ISSCC2004特集))

    溝口 大介, Yusof Yusmeeraz Binti, 三浦 典之, 桜井 貴康, 黒田 忠広

    電子情報通信学会技術研究報告. ICD, 集積回路 Vol. 104 No. 67 p. 31-36 2004年5月14日

    出版者・発行元:一般社団法人電子情報通信学会

著書 3

  1. Design of 3D Integrated Circuits and Systems (Chapter 7: Inductive Coupling ThruChip Interface for 3D Integration)

    三浦 典之, 黒田 忠広

    CRC Press 2014年11月 学術書

  2. Coupled Data Communication Techniques for High-Performance and Low-Power Computing (Chapter 4: Inductive Coupled Communications)

    N. Miura, T. Kuroda

    Springer-Verlag New York Inc. 2010年6月

  3. Integrated Interconnect Technologies for 3D Nanoelectronic Systems (Chapter 15: Capacitive and Inductive-Coupling I/Os for 3D Chips)

    N. Miura, T. Kuroda

    Artech House 2008年11月

講演・口頭発表等 45

  1. ハードウェアセキュリティのためのSlightly-Analog回路設計

    三浦典之

    DAシンポジウム2024 2024年8月28日

  2. Energy Field, Computer Shape

    Noriyuki Miura

    IEEE USC GRSS APS SSCS Chapter Seminar 2024年6月4日

  3. Integrated Security Interface Against Cyber-Physical Attacks

    Noriyuki Miura

    IEEE AP/CAS/ED/MTT/SSC Webinar (Virtual) Seminar 2022年10月18日

  4. 集積回路より漏えいする電磁波の電源電圧依存性モデル

    南口 和生, 御堂 義博, 三浦 典之, 塩見 準

    DAシンポジウム2022 2022年8月

  5. 固有PRNUに基づく撮像カメラデバイス同定技術のインフラ監視システムへの応用

    佐々木輝, 川村康輔, 御堂 義博, 塩見準, 三浦典之

    電子情報通信学会信号処理研究会 2022年8月

  6. 静電容量型タッチセンサの計測中間値を利用した高信頼電子筆跡認証方式の検討

    川村康輔, 久保田康裕, 永田真, 御堂 義博, 塩見準, 三浦典之

    電子情報通信学会信号処理研究会 2022年8月

  7. 感情推定のための高重要度生体センサ選択による身体負荷低減効果の評価

    江木悠貴, 筧玲央, 御堂 義博, 塩見準, 三浦典之

    電子情報通信学会信号処理研究会 2022年8月

  8. Integrated Security Interface Against Cyber-Physical Attacks

    Noriyuki Miura

    IEEE SSCS Delhi Chapter Distinguished Lecturer Technical Seminar 2022年6月27日

  9. Integrated Sense-and-React Countermeasures against Physical Attacks

    Noriyuki Miura

    International Solid-State Circuits Conference (ISSCC) 2022 2022年2月26日

  10. Integrated Security Interface Against Cyber-Physical Attacks

    Noriyuki Miura

    IEEE SSCS Japan Chapter/Seoul Chapter Distinguished Lecturer Technical Seminar 2021年12月7日

  11. [基調講演]サイバー空間とフィジカル空間の接点:集積システムのあるべきカタチ

    三浦典之

    第196回システムとLSIの設計技術研究発表会(デザインガイア2021) 2021年12月1日

  12. 近接電磁場設計と先端実装技術に基づく新たなコンピュータのカタチ

    三浦典之

    第41回ナノテスティングシンポジウム(NANOTS2021) 2021年10月26日

  13. 少数シミュレーションによるSEM画像生成モデルの構築法

    御堂義博, 岩本航, 中前幸治, 三浦典之

    第41回ナノテスティングシンポジウム(NANOTS2021) 2021年10月

  14. 多項式制約を含むニューラルネットワークを用いたデータ駆動型の物理法則の探索法

    武本侑己, 御堂義博, 中前幸治, 三浦典之

    第41回ナノテスティングシンポジウム(NANOTS2021) 2021年10月

  15. フーリエリング相関を用いたアパーチャ形状最適化による電子線ホログラム位相再生時の雑音低減

    岡田拓真, 御堂義博, 中前幸治, 三浦典之

    第41回ナノテスティングシンポジウム(NANOTS2021) 2021年10月

  16. 粉末コンピュータ

    三浦典之

    第20回情報科学技術フォーラム(FIT2021) 2021年8月27日

  17. サイバーフィジカル攻撃に対峙する集積セキュリティインターフェイス

    三浦典之

    ハードウェアセキュリティフォーラム2020 2020年12月11日

  18. Integrated Security Interface Against Cyber-Physical Attacks

    Noriyuki Miura

    Asian Solid-State Circuits Conference (A-SSCC) 2020年11月9日

  19. デバイス固有性を利用したIoT情報の生涯真正性確認スキーム

    三浦典之

    電子情報技術産業協会(JEITA)デバイス・ハードウェアセキュリティ技術分科会 2020年11月2日

  20. モンテカルロシミュレーションと深層学習による帯電を考慮したSEM画像生成

    岩本 航, 御堂義博, 中前幸治, 三浦典之

    第40回ナノテスティングシンポジウム(NANOTS2020) 2020年11月

  21. 部分観測条件下での強化学習による連続値行動の最適化

    西村朋恵, 御堂義博, 中前幸治, 三浦典之

    第40回ナノテスティングシンポジウム(NANOTS2020) 2020年11月

  22. 次元圧縮と深層学習を用いた時系列データの変化点予測

    大塚健介, 御堂義博, 中前幸治, 三浦典之

    第40回ナノテスティングシンポジウム(NANOTS2020) 2020年11月

  23. 物理モデルを考慮したニューラルネットワークによる実験データの説明可能性検証

    武本侑己, 御堂義博, 中前幸治, 三浦典之

    第40回ナノテスティングシンポジウム(NANOTS2020) 2020年11月

  24. フーリエ変換ベース位相再生時の雑音抑制による電子線ホログラムの位相精度向上

    岡田拓真, 御堂義博, 中前幸治, 三浦典之

    第40回ナノテスティングシンポジウム(NANOTS2020) 2020年11月

  25. アナログ計測セキュリティ技術 -センサデータ漏洩を防ぐセキュアAD変換器

    三木拓司, 三浦典之, 永田 真

    計測セキュリティフォーラム2018 2018年4月

  26. レーザーフォールト攻撃対策である電源遮断回路実装時のサイドチャネル耐性評価

    郡 義弘, 藤本 大介, 林 優一, 三浦 典之, 永田 真, 崎山 一男

    ハードウェアセキュリティ研究会 2018年3月

  27. ミリ波レーダの環境擾乱応答の評価システムのハードウェア実装

    町田 樹哉, 松田 航平, 三浦 典之, 梨本 翔永, 鈴木 大輔, 永田 真

    ハードウェアセキュリティ研究会 2018年3月

  28. チップ・パッケージ・ボード非接触インターラクションとカオス発振を利用したPUF

    三浦 典之, 高橋 雅典, 松田 航平, 永田 真

    ハードウェアセキュリティフォーラム2017 2017年12月

  29. インピーダンス計測に基づくICの周辺に実装されたHT検出手法の検討

    任 翔太, 藤本 大介, 林 優一, 三浦 典之, 永田 真, 松本 勉

    ハードウェアセキュリティフォーラム2017 2017年12月

  30. 高密度半導体永久ストレージの研究

    三浦 典之, 永田 真

    ハードウエアセキュリティ研究会 2017年9月

  31. PRINCE暗号プロセッサの超軽量実装

    松田 航平, 三浦 典之, 永田 真, Shivam Bashin, Ville Yli-Mayry, 本間 尚文, Yves Mathieu, Tarik Graba, Jean-Luc Danger

    ハードウェアセキュリティ研究会 2017年6月

  32. 二段階遷移型インバータを利用した500MHz -52.5dB-THD電圧時間変換回路

    水田 健人, 三木 拓司, 三浦 典之, 道正 志郎, 永田 真

    LSIとシステムのワークショップ2017 2017年5月

  33. EMI性能の獲得に向けたICチップの電源ノイズシミュレーション

    月岡 暉裕, 中島 弘紀, 三浦 典之, 永田 真

    LSIとシステムのワークショップ2017 2017年5月

  34. A Permanent Digital Archive System Based on 4F^2 X-Point Multi-Layer Metal Nano-Dot Structure

    MIURA Noriyuki, Shijia Liu, WATANABE Tsuyoshi, IMAI Shigeki, NAGATA Makoto

    IEEE SSCS Kansai Chapter Technical Seminar 2017年2月

  35. 高解像度・高速タッチセンサのノイズ耐性評価とノイズ低減手法の検討

    桐山卓弥, 三浦典之, 永田真

    シリコンアナログRF研究会 2016年3月

  36. 基板電位変動モニタリングによるレーザーフォールト注入攻撃対策

    松田 航平, 三浦 典之, 永田 真, 林 優一, 藤井 達哉, 矢ヶ崎 玲奈, 崎山 一男

    LSIとシステムのワークショップ2016 2016年

  37. チップ・パッケージ・ボードレベルの物理攻撃対策回路技術

    三浦 典之, 永田 真

    ハードウェアセキュリティフォーラム2016 2016年

  38. Proactive and Reactive Countermeasures against Active and Passive EM Attacks

    MIURA Noriyuki

    IEEE International Symposium on Electromagnetic Compatibility (EMC2016) 2016年

  39. FPGA実装した暗号コアからの放射電磁波ノイズ量と情報漏洩量の相関評価

    吉田 弘樹, 三浦 典之, 永田 真

    LSIとシステムのワークショップ2016 2016年

  40. 製品テストにおける適応型電源共振ノイズ抑制フィルタ

    谷口綱紀, 三浦典之, 林泰祐, 永田真

    LSIとシステムのワークショップ2015 2015年5月

  41. 暗号処理回路への近傍電磁波解析攻撃を検知する完全デジタル発振器型センサ

    田中廉大, 三浦典之, 藤本大介, 本間尚文, 林優一, 青木孝文, 永田真

    LSIとシステムのワークショップ2015 2015年5月

  42. ハードウェアセキュリティのための集積回路技術

    三浦 典之

    第36回アナログRF研究会 2014年7月

  43. 基板ノイズによるLTE通信品質への影響のシステムレベル評価

    上坂 純平, 島崎 俊介, 三浦 典之, 永田 真

    電子情報通信学会集積回路研究会・LSIとシステムのワークショップ2014 2014年5月

  44. 三次元積層チップ間を貫く無線TSV ~ThruChip Interface~

    三浦 典之

    第26回 回路とシステムのワークショップ 2013年7月

  45. ThruChip Interface for 3D ICs

    MIURA Noriyuki

    International Solid-State Circuits Conference Digest of Technical Papers 2013年2月

報道 7

  1. 声取り戻すAIアプリ 口の動きで言葉推定 阪大などチーム

    読売新聞社

    2024年11月

  2. “無断生成AI”に声優らが「NO」 その一方で…がんで失った声、“AIで取り戻す”技術も

    日本テレビ

    2024年11月

  3. 唇の動きで発話推定、声失った人向けAIアプリ 大阪大

    日本経済新聞社

    2024年10月

  4. 胃カメラを飲まなくていい時代がくる? 「粉末コンピューター」未来の可能性

    朝日テレビ

    2021年3月

  5. 口から飲み込む「粉末コンピューター」 阪大で開発中

    朝日新聞デジタル

    2021年3月

  6. ぷらっとラボ コンピュータのみ日も近い?

    2021年3月

  7. 飲むコンピュータの粉薬 体の中で「粉末コンピュータ」が健康チェック

    学校法人河合塾

    2020年6月