EN

基本情報

研究

社会活動

その他の活動

志村 考功

Shimura Takayoshi

工学研究科 物理学系専攻,准教授

shimura prec.eng.osaka-u.ac.jp

keyword ゲート絶縁膜,X線位相イメージング,ゲルマニウム,シリコンフォトニクス,X線イメージング,電子デバイス材料,X線結晶学

経歴

  • 2020年04月01日 ~ 継続中,大阪大学 工学研究科 物理学系専攻,准教授
  • 2007年01月 ~ 継続中,大阪大学・准教授
  • 2007年04月01日 ~ 2020年03月31日,大阪大学 工学研究科 生命先端工学専攻,准教授
  • 2007年04月01日 ~ 2011年03月31日,大阪大学 先端科学イノベーションセンター,兼任准教授
  • 2007年02月01日 ~ 2007年03月31日,大阪大学 工学研究科 生命先端工学専攻,助教授
  • 2005年08月01日 ~ 2007年01月31日,大阪大学 工学研究科 精密科学・応用物理学専攻,助手
  • 1993年04月 ~ 2007年01月,大阪大学助手
  • 2005年04月01日 ~ 2005年07月31日,大阪大学 工学研究科 生命先端工学専攻,助手
  • 1998年08月01日 ~ 2005年03月31日,大阪大学 工学研究科,助手
  • 2007年 ~ ,- 大阪大学・准教授
  • 2007年 ~ ,- Osaka University, Associate Professor

研究内容・専門分野

  • ナノテク・材料,光工学、光量子科学
  • ナノテク・材料,応用物理一般
  • エネルギー,量子ビーム科学
  • ものづくり技術(機械・電気電子・化学工学),電気電子材料工学
  • ナノテク・材料,結晶工学
  • ナノテク・材料,応用物性

所属学会

  • 放射光学会
  • 結晶学会
  • 物理学会
  • 日本放射光学会
  • 応用物理学会
  • 日本結晶学会
  • 日本物理学会
  • The Japanese Society for Synchrotron Radiation Research
  • The Japan Society of Applied Physics
  • The Crystallographic Society of Japan
  • The Physical Society of Japan

論文

  • Characterization of nitrided SiC(1 <mml:math xmlns:mml="http://www.w3.org/1998/Math/MathML" altimg="si1.svg"><mml:mrow><mml:mover accent="true"><mml:mn>1</mml:mn><mml:mo>‾</mml:mo></mml:mover></mml:mrow></mml:math> 00) MOS structures by means of electrical measurements and X-ray photoelectron spectroscopy,Takuma Kobayashi,Asato Suzuki,Takato Nakanuma,Mitsuru Sometani,Mitsuo Okamoto,Akitaka Yoshigoe,Takayoshi Shimura,Heiji Watanabe,Materials Science in Semiconductor Processing,Elsevier BV,Vol. 175,p. 108251-108251,2024年06月,研究論文(学術雑誌)
  • Separate evaluation of interface and oxide hole traps in SiO<sub>2</sub>/GaN MOS structures with below- and above-gap light excitation,Takuma Kobayashi,Kazuki Tomigahara,Mikito Nozaki,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 17,No. 1,p. 011003-011003,2023年12月29日,研究論文(学術雑誌)
  • Design of SiO2/4H–SiC MOS interfaces by sputter deposition of SiO2 followed by high-temperature CO2-post deposition annealing,Tae-Hyeon Kil,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,AIP Advances,AIP Publishing,Vol. 13,No. 11,p. 115304-1-115304-5,2023年11月01日,研究論文(学術雑誌)
  • Oxygen-vacancy defect in 4H-SiC as a near-infrared emitter: An <i>ab initio</i> study,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,Journal of Applied Physics,AIP Publishing,Vol. 134,No. 14,p. 145701-1-145701-9,2023年10月11日,研究論文(学術雑誌)
  • Passivation of hole traps in SiO<sub>2</sub>/GaN metal-oxide-semiconductor devices by high-density magnesium doping,Hidetoshi Mizobata,Mikito Nozaki,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 16,No. 10,p. 105501-1-105501-4,2023年10月01日,研究論文(学術雑誌)
  • Interface and oxide trap states of SiO2/GaN metal–oxide–semiconductor capacitors and their effects on electrical properties evaluated by deep level transient spectroscopy,Shingo Ogawa,Hidetoshi Mizobata,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,Journal of Applied Physics,AIP Publishing,Vol. 134,No. 9,p. 095704-1-095704-7,2023年09月05日,研究論文(学術雑誌)
  • Control on the density and optical properties of color centers at SiO2/SiC interfaces by oxidation and annealing,Takato Nakanuma,Kosuke Tahara,Katsuhiro Kutsuki,Takayoshi Shimura,Heiji Watanabe,Takuma Kobayashi,Applied Physics Letters,AIP Publishing,Vol. 123,No. 10,p. 102102-1-102102-5,2023年09月04日,研究論文(学術雑誌)
  • Impact of Sn incorporation on sputter epitaxy of GeSn,Nobuyuki Tanaka,Mizuki Kuniyoshi,Kazuya Abe,Masaki Hoshihara,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 16,No. 9,p. 095502-095502,2023年09月01日,研究論文(学術雑誌)
  • Improvement of interface properties in SiC(0001) MOS structures by plasma nitridation of SiC surface followed by SiO<sub>2</sub> deposition and CO<sub>2</sub> annealing,Hiroki Fujimoto,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 16,No. 7,p. 074004-1-074004-4,2023年07月01日,研究論文(学術雑誌)
  • Formation of high-quality SiO<sub>2</sub>/GaN interfaces with suppressed Ga-oxide interlayer via sputter deposition of SiO<sub>2</sub>,Kentaro Onishi,Takuma Kobayashi,Hidetoshi Mizobata,Mikito Nozaki,Akitaka Yoshigoe,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,IOP Publishing,Vol. 62,No. 5,p. 050903-1-050903-4,2023年05月16日,研究論文(学術雑誌)
  • Fabrication and Luminescence Characterization of Ge Wires with Uniaxial Tensile Strains Applied using Internal Stresses in Deposited Metal Thin Films,Takayoshi Shimura,Shogo Tanaka,Takuji Hosoi,Heiji Watanabe,Journal of Electronic Materials,Springer Science and Business Media LLC,2023年03月06日,研究論文(学術雑誌)
  • Reduction of interface and oxide traps in SiO<sub>2</sub>/GaN MOS structures by oxygen and forming gas annealing,Bunichiro Mikake,Takuma Kobayashi,Hidetoshi Mizobata,Mikito Nozaki,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 16,No. 3,p. 031004-031004,2023年03月01日,研究論文(学術雑誌)
  • Controllability of luminescence wavelength from GeSn wires fabricated by laser-induced local liquid phase crystallization on quartz substrates,Takayoshi Shimura,Ryoga Yamaguchi,Naoto Tabuchi,Masato Kondoh,Mizuki Kuniyoshi,Takuji Hosoi,Takuma Kobayashi,Heiji Watanabe,Japanese Journal of Applied Physics,IOP Publishing,Vol. 62,No. SC,p. SC1083-1-SC1083-5,2023年03月01日,研究論文(学術雑誌)
  • 局所液相成長法によって作製した単結晶GeSn細線の受光・発光特性,志村 考功,細井 卓治,小林 拓真,渡部 平司,レーザー研究,Vol. 50,No. 10,p. 565-569,2022年10月,研究論文(学術雑誌)
  • Degradation of NO-nitrided SiC MOS interfaces by excimer ultraviolet light irradiation,Hiroki Fujimoto,Takuma Kobayashi,Mitsuru Sometani,Mitsuo Okamoto,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 15,No. 10,p. 104004-104004,2022年10月01日,研究論文(学術雑誌)
  • Electrical properties and energy band alignment of SiO<sub>2</sub>/GaN metal-oxide-semiconductor structures fabricated on N-polar GaN( 0001¯) substrates,Hidetoshi Mizobata,Kazuki Tomigahara,Mikito Nozaki,Takuma Kobayashi,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,AIP Publishing,Vol. 121,No. 6,p. 062104-1-062104-6,2022年08月08日,研究論文(学術雑誌)
  • Impact of post-nitridation annealing in CO2 ambient on threshold voltage stability in 4H-SiC metal-oxide-semiconductor field-effect transistors,Takuji Hosoi,Momoe Ohsako,Kidist Moges,Koji Ito,Tsunenobu Kimoto,Mitsuru Sometani,Mitsuo Okamoto,Akitaka Yoshigoe,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,Vol. 16,No. 6,p. 061003-1-061003-5,2022年05月,研究論文(学術雑誌)
  • Comprehensive physical and electrical characterizations of NO nitrided SiO<sub>2</sub>/4H-SiC(112̄0) interfaces,Takato Nakanuma,Yu Iwakata,Arisa Watanabe,Takuji Hosoi,Takuma Kobayashi,Mitsuru Sometani,Mitsuo Okamoto,Akitaka Yoshigoe,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,IOP Publishing,Vol. 61,No. SC,p. SC1065-1-SC1065-8,2022年05月01日,研究論文(学術雑誌)
  • Fixed-charge generation in SiO2/GaN MOS structures by forming gas annealing and its suppression by controlling Ga-oxide interlayer growth,Hidetoshi Mizobata,Mikito Nozaki,Takuma Kobayashi,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,IOP Publishing,Vol. 61,No. SC,p. SC1034-SC1034,2022年05月01日,研究論文(学術雑誌)
  • Toward Super Temporal Resolution by Suppression of Mixing Effects of Electrons,Nguyen Hoai Ngo,Takeharu Goji Etoh,Kazuhiro Shimonomura,Taeko Ando,Yoshiyuki Matsunaga,Takayoshi Shimura,Heiji Watanabe,Hideki Mutoh,Yoshinari Kamakura,Edoardo Charbon,IEEE Transactions on Electron Devices,Institute of Electrical and Electronics Engineers (IEEE),Vol. 69,No. 6,p. 2879-2885,2022年04月,研究論文(学術雑誌)
  • Impact of nitridation on the reliability of 4H-SiC(112̄0) MOS devices,Takato Nakanuma,Takuma Kobayashi,Takuji Hosoi,Mitsuru Sometani,Mitsuo Okamoto,Akitaka Yoshigoe,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 15,No. 4,p. 041002-1-041002-4,2022年04月01日,研究論文(学術雑誌)
  • Characterization of Electron Traps in Gate Oxide of m-plane SiC MOS Capacitors,Yutaka Terao,Takuji Hosoi,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,2022 IEEE International Reliability Physics Symposium (IRPS),IEEE,p. P66-1-P66-4,2022年03月,研究論文(国際会議プロシーディングス)
  • Investigation of reliability of NO nitrided SiC(1100) MOS devices,Takato Nakanuma,Asato Suzuki,Yu Iwakata,Takuma Kobayashi,Mitsuru Sometani,Mitsuo Okamoto,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2022 IEEE International Reliability Physics Symposium (IRPS),IEEE,p. 3B.2-1-3B.2-5,2022年03月,研究論文(国際会議プロシーディングス)
  • Insight into interface electrical properties of metal–oxide–semiconductor structures fabricated on Mg-implanted GaN activated by ultra-high-pressure annealing,Yuhei Wada,Hidetoshi Mizobata,Mikito Nozaki,Takuma Kobayashi,Takuji Hosoi,Tetsu Kachi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,AIP Publishing,Vol. 120,No. 8,p. 082103-082103,2022年02月21日,研究論文(学術雑誌)
  • 構造化X線光源による透過型X線イメージングの高感度化・高分解能化,志村考功,検査技術,Vol. 27,No. 1,p. 49-55,2022年01月,研究論文(その他学術会議資料等)
  • High-temperature CO2 treatment for improving electrical characteristics of 4H-SiC(0001) metal-oxide-semiconductor devices,Takuji Hosoi,Momoe Ohsako,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 14,No. 10,p. 101001-101001,2021年10月01日,研究論文(学術雑誌)
  • Probing the surface potential of SiO2/4H-SiC(0001) by terahertz emission spectroscopy,Hidetoshi Nakanishi,Tatsuhiko Nishimura,Iwao Kawayama,Masayoshi Tonouchi,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Journal of Applied Physics,AIP Publishing,Vol. 130,No. 11,p. 115305-1-115305-7,2021年09月21日,研究論文(学術雑誌)
  • Demonstration of 4H-SiC CMOS circuits consisting of well-balanced n- and p-channel MOSFETs fabricated by ultrahigh-temperature gate oxidation,Kidist Moges,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 14,No. 9,p. 091006-091006,2021年09月01日,研究論文(学術雑誌)
  • Backscattering X-ray imaging using Fresnel zone aperture,Takayoshi Shimura,Takuji Hosoi,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 14,No. 7,p. 072002-072002,2021年07月01日,研究論文(学術雑誌)
  • Inhibition of Mg activation in p-type GaN caused by thin AlGaN capping layer and impact of designing hydrogen desorption pathway,Yuhei Wada,Hidetoshi Mizobata,Mikito Nozaki,Takuji Hosoi,Tetsuo Narita,Tetsu Kachi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 14,No. 7,p. 071001-071001,2021年07月01日,研究論文(学術雑誌)
  • A Pixel Design of a Branching Ultra-Highspeed Image Sensor,Nguyen Hoai Ngo,Kazuhiro Shimonomura,Taeko Ando,Takayoshi Shimura,Heiji Watanabe,Kohsei Takehara,Anh Quang Nguyen,Edoardo Charbon,Takeharu Goji Etoh,Sensors,MDPI AG,Vol. 21,No. 7,p. 2506-2506,2021年04月03日,研究論文(学術雑誌)
  • 水素ガスアニールにより生じるSiO2/GaN界面の異常な固定電荷の起源,溝端 秀聡,和田 悠平,野崎 幹人,細井 卓治,志村 考功,渡部 平司,p. 195-199,2021年01月,研究論文(研究会,シンポジウム資料等)
  • 水素ガスアニールに起因したSiO2/GaN界面での異常な固定電荷生成とその物理的起源,溝端秀聡,和田悠平,野崎幹人,細井卓治,志村考功,渡部平司,2020年12月09日,研究論文(研究会,シンポジウム資料等)
  • 4H-SiC CMOS inverters fabricated by ultrahigh-temperature gate oxidation and forming gas annealing,Kidist Moges,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2020年12月09日,研究論文(研究会,シンポジウム資料等)
  • Toward the Super Temporal Resolution Image Sensor with a Germanium Photodiode for Visible Light,Nguyen Hoai Ngo,Anh Quang Nguyen,Fabian M. Bufler,Yoshinari Kamakura,Hideki Mutoh,Takayoshi Shimura,Takuji Hosoi,Heiji Watanabe,Philippe Matagne,Kazuhiro Shimonomura,Kohsei Takehara,Edoardo Charbon,Takeharu Goji Etoh,Sensors,Vol. 20,No. 23,p. 6895-6895,2020年12月,研究論文(学術雑誌)
  • 光の飛翔を捉えた超高速イメージセンサと今後の展開,江藤 剛治,下ノ村 和弘,志村 考功,渡部 平司,映像情報メディア学会誌,Vol. 74,No. 6,p. 936-941,2020年11月,研究論文(学術雑誌)
  • Gate Stack Technology for Advanced GaN-based MOS Devices,Heiji Watanabe,Takuji Hosoi,Mikito Nozaki,Hidetoshi Mizobata,Takayoshi Shimura,2020年09月,研究論文(国際会議プロシーディングス)
  • Anomalous interface fixed charge generated by forming gas annealing in SiO2/GaN MOS devices,Hidetoshi Mizobata,Yuhei Wada,Mikito Nozaki,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,IOP Publishing,Vol. 13,No. 8,p. 081001-081001,2020年08月01日,研究論文(学術雑誌)
  • Insight into Channel Conduction Mechanisms of 4H-SiC(0001) MOSFET Based on Temperature-Dependent Hall Effect Measurement,Hironori Takeda,Mitsuru Sometani,Takuji Hosoi,Takayoshi Shimura,Hiroshi Yano,Heiji Watanabe,Materials Science Forum,Trans Tech Publications, Ltd.,Vol. 1004,p. 620-626,2020年07月,研究論文(学術雑誌)
  • Evaluation and mitigation of reactive ion etching-induced damage in AlGaN/GaN MOS structures fabricated by low-power inductively coupled plasma,Mikito Nozaki,Daiki Terashima,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,IOP Publishing,Vol. 59,No. SM,p. SMMA07-SMMA07,2020年07月01日,研究論文(学術雑誌)
  • Insight into gate dielectric reliability and stability of SiO2/GaN MOS devices,Yuhei Wada,Mikito Nozaki,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,IOP Publishing,Vol. 59,No. SM,p. SMMA03-SMMA03,2020年07月01日,研究論文(学術雑誌)
  • 液相成長GeSnを用いた横型pinダイオードの高効率室温エレクトロルミネッセンス,和田 裕希,細井 卓治,志村 考功,渡部 平司,p. 65-68,2020年01月
  • フラッシュランプアニール法を用いたGOI基板上固相成長GeSn n-MOSFETsの作製,岡 博史,水林 亘,森 貴洋,石川 由紀,細井 卓治,志村 考功,渡部 平司,遠藤 和彦,p. 121-124,2020年01月
  • Comprehensive and systematic design of metal/high-k gate stack for high-performance and highly reliable SiC power MOSFET,Takuji Hosoi,Shuji Azumo,Yusaku Kashiwagi,Shigetoshi Hosaka,Kenji Yamamoto,Masatoshi Aketa,Hirokazu Asahara,Takashi Nakamura,Tsunenobu Kimoto,Tayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 59,No. 2,p. 021001-1-021001-8,2020年01月,研究論文(学術雑誌)
  • The Role of Oxygen Ambient Anneal for Ba-incorporated SiO2/SiC Interface,寺尾 豊,辻 英徳,細井 卓治,張 旭芳,矢野 裕司,志村 考功,渡部 平司,p. 137-139,2020年01月,研究論文(国際会議プロシーディングス)
  • Room Temperature Electroluminescence from Tensile-strained GeSn Lateral PIN Structures Fabricated by Nucleation-controlled Liquid-phase Crystallization,Y. Wada,T. Hosoi,T. Shimura,H. Watanabe,2019年12月,研究論文(国際会議プロシーディングス)
  • High-temperature CO2 Process for Improvement of SiC MOS Characteristics,T. Hosoi,M. Ohsako,T. Shimura,H. Watanabe,2019年12月,研究論文(国際会議プロシーディングス)
  • レーザーテラヘルツエミッション顕微鏡を用いたSiC MOS界面の表面ポテンシャル評価,西村辰彦,中西英俊,川山巌,斗内政吉,細井卓治,志村考功,渡部平司,2019年12月,研究論文(その他学術会議資料等)
  • SiO2中へのGa拡散がSiO2/GaN MOS特性に与える影響の評価,和田悠平,野崎幹人,細井卓治,志村考功,渡部平司,2019年12月,研究論文(その他学術会議資料等)
  • AlGaN/GaNヘテロ構造の低バイアス電力ICPエッチングによる低損傷加工,野崎幹人,寺島大貴,吉越章隆,細井卓治,志村考功,渡部平司,2019年12月,研究論文(その他学術会議資料等)
  • CO2アニールによるSiO2/SiC界面窒素量制御とSiC MOSFET信頼性向上,細井卓治,大迫桃恵,伊藤滉二,志村考功,木本恒暢,渡部平司,2019年12月,研究論文(その他学術会議資料等)
  • Evaluation of Reactive Ion Etching-induced Damage on 2DEG at AlGaN/GaN Interface,Mikito Nozaki,Daiki Terashima,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2019年11月,研究論文(国際会議プロシーディングス)
  • Thermal Oxidation of SiC: Kinetics and SiO2/SiC Interface Property,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2019年11月,研究論文(国際会議プロシーディングス)
  • Oxidation of SiGe Alloy: Residual Order in SiO2 and Self-limiting Oxidation,Takayoshi Shimura,Takuji Hosoi,Heiji Watanabe,2019年11月,研究論文(国際会議プロシーディングス)
  • Characterization of Surface Potential of Oxidized Silicon Carbide by a Laser Terahertz Emission Microscope,Tatsuhiko Nishimura,Hidetoshi Nakanishi,Iwao Kawayama,Masayoshi Tonouchi,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2019年10月,研究論文(国際会議プロシーディングス)
  • Interface Engineering of SiC MOS Devices by High-temperature CO2 Treatment,Takuji Hosoi,Momoe Ohsako,Takayoshi Shimura,Heiji Watanabe,2019年10月,研究論文(国際会議プロシーディングス)
  • Ideal phonon-scattering-limited mobility in inversion channels of 4H-SiC(0001) MOSFETs with ultralow net doping concentrations,Mitsuru Sometani,Takuji Hosoi,Hirohisa Hirai,Tetsuo Hatakeyama,Shinsuke Harada,Hiroshi Yano,Takayoshi Shimura,Heiji Watanabe,Yoshiyuki Yonezawa,Hajime Okumura,Vol. 115,No. 13,p. 132102-1-132102-5,2019年09月,研究論文(学術雑誌)
  • Gate stack engineering for GaN power MOSFETs,T. Hosoi,M. Nozaki,T. Shimura,H. Watanabe,2019年08月,研究論文(国際会議プロシーディングス)
  • Recent progress in understanding carbon-related interface defects and electrical properties in SiC-MOS devices,T. Hosoi,K. Moges,T. Shimura,H. Watanabe,2019年07月,研究論文(国際会議プロシーディングス)
  • Evaluation of the Impact of Al Atoms on SiO2/SiC Interface Property by Using 4H-SiC n+-Channel Junctionless MOSFET,Hironori Takeda,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Vol. 963,p. 171-174,2019年07月,研究論文(学術雑誌)
  • Tensile-strained GeSn-on-SOI MSM Photodetector Fabricated by Solid-phase Epitaxy,H. Oka,W. Mizubayashi,T. Hosoi,T. Shimura,H. Watanabe,T. Maeda,N. Uchida,K. Endo,2019年06月,研究論文(国際会議プロシーディングス)
  • NO窒化処理を施したSiO2/SiC界面近傍の窒素分布評価,細井卓治,Kidist Moges,染谷 満,志村考功,原田信介,渡部平司,信学技報,Vol. 119,No. 96,p. 1-4,2019年06月,研究論文(その他学術会議資料等)
  • Comparative study on thermal robustness of GaN and AlGaN/GaN MOS devices with thin oxide interlayers,Mikito Nozaki,Daiki Terashima,Takahiro Yamada,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 58,No. SC,p. SCCD08-1-SCCD08-6,2019年05月,研究論文(学術雑誌)
  • Mobility enhancement in recessed-gate AlGaN/GaN MOS-HFETs using an AlON gate insulator,Takuji Hosoi,Kenta Watanabe,Mikito Nozaki,Takahiro Yamada,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 58,No. SC,p. SCCD16-1-SCCD16-6,2019年05月,研究論文(学術雑誌)
  • Performance improvement in 4H-SiC(0001) p-channel metal-oxide-semiconductor field-effect transistors with a gate oxide grown at ultrahigh temperature,Kidist Moges,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,Vol. 12,No. 6,p. 061003-1-061003-4,2019年05月,研究論文(学術雑誌)
  • Controlled oxide interlayer for improving reliability of SiO2/GaN MOS devices,Takahiro Yamada,Daiki Terashima,Mikito Nozaki,Hisashi Yamada,Tokio Takahashi,Mitsuaki Shimizu,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 58,No. SC,p. SCCD06-1-SCCD06-5,2019年04月,研究論文(学術雑誌)
  • Analysis of III–V oxides at high-k/InGaAs interfaces induced by metal electrodes,Shinichi Yoshida,Dennis H L Lin,Rena Suzuki,Yuki Miyanami,Nadine Collaert,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 58,No. 5,p. 051010-1-051010-6,2019年04月,研究論文(学術雑誌)
  • 近赤外イメージセンサーに向けた石英基板上裏面照射型GeSnフォトダイオードアレイの開発,岡 博史,井上 慶太郎,Thi Thuy Nguyen,黒木 伸一郎,細井 卓治,志村 考功,渡部 平司,2019年03月,研究論文(その他学術会議資料等)
  • 熱酸化SiO2/SiC界面欠陥とMOS特性,細井卓治,志村 考功,渡部 平司,2019年02月,研究論文(その他学術会議資料等)
  • Demonstration of mm long nearly intrinsic GeSn single-crystalline wires on quartz substrate fabricated by nucleation-controlled liquid-phase crystallization,Youki Wada,Keitaro Inoue,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 58,No. SB,p. SBBK01-1-SBBK01-6,2019年02月,研究論文(学術雑誌)
  • 温度可変ホール効果測定による4H-SiC(0001) MOSFETチャネル内電子伝導機構の考察,武田 紘典,染谷 満,細井 卓治,志村 考功,矢野 裕司,渡部 平司,2019年01月,研究論文(国際会議プロシーディングス)
  • 導電型の異なるGaN上GaOx界面層の放射光XPS分析,山田 高寛,寺島 大貴,野崎 幹人,山田 永,高橋 言諸,清水 三聡,吉越 章隆,細井 卓治,志村 考功,渡部 平司,2019年01月,研究論文(その他学術会議資料等)
  • 温度可変ホール効果測定による4H-SiC(0001) MOSFETチャネル内電子伝導機構の考察,武田紘典,染谷満,細井卓治,志村考功,矢野, 裕司,渡部平司,電子デバイス界面テクノロジー研究会 -材料・プロセス・デバイス特性の物理- 第24回研究会 予稿集,p. 225-228,2019年01月,研究論文(研究会,シンポジウム資料等)
  • High-mobility P- and N-channel GeSn Thin-film Transistors on Transparent Substrate Fabricated by Nucleation-controlled Liquid-phase Crystallization,T. Hosoi,H. Oka,K. Inoue,Y. Wada,T. Shimura,H. Watanabe,2018年12月,研究論文(国際会議プロシーディングス)
  • Improved reliability of SiO2/GaN MOS devices by controlling the oxide interlayer,Takahiro Yamada,Daiki Terashima,Mikito Nozaki,Hisashi Yamada,Tokio Takahashi,Mitsuaki Shimizu,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2018年11月,研究論文(国際会議プロシーディングス)
  • SiC MOS界面特性改善に向けた高温CO2熱処理の検討,大迫桃恵,細井卓治,志村考功,渡部平司,2018年11月,研究論文(その他学術会議資料等)
  • Comparative study of thermal decomposition of thin Ga oxide layer on GaN and AlGaN surfaces,Mikito Nozaki,Daiki Terashima,Takahiro Yamada,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2018年11月,研究論文(国際会議プロシーディングス)
  • NO窒化処理を施したSiO2/SiC界面における窒素原子分布の高精度評価,Kidist Moges,染谷満,細井卓治,志村考功,原田信介,渡部平司,2018年11月,研究論文(その他学術会議資料等)
  • GaN導電型がSiO2/GaN構造のGaOx界面層形成に及ぼす影響,山田高寛,寺島大貴,野崎幹人,山田永,高橋言諸,清水三聡,吉越章隆,細井卓治,志村考功,渡部平司,2018年11月,研究論文(その他学術会議資料等)
  • 4H-SiC(0001) MOSFETの可動電子密度の温度依存性に基づくチャネル内電子伝導機構の考察,武田紘典,染谷満,細井卓治,志村考功,矢野裕司,渡部平司,先進パワー半導体分科会 第5回講演会 予稿集,p. 97-98,2018年11月,研究論文(研究会,シンポジウム資料等)
  • Optoelectronic Integration Based on High-quality GeSn Grown by Liquid Phase Crystallization,T. Hosoi,H. Oka,T. Shimura,H. Watanabe,2018年10月,研究論文(国際会議プロシーディングス)
  • Highly n-Type Doped Ge and Gesn Wires Fabricated By Lateral Liquid-Phase Epitaxy,H. Watanabe,T. Tomita,H. Oka,K. Inoue,T. Hosoi,T. Shimura,2018年10月,研究論文(国際会議プロシーディングス)
  • Gate Stack Technology for Advanced GaN-Based Mos Devices,H. Watanabe,T. Yamada,M. Nozaki,T. Hosoi,T. Shimura,2018年10月,研究論文(国際会議プロシーディングス)
  • Improved channel mobility of 4H-SiC n-MOSFETs by ultrahigh-temperature gate oxidation with low-oxygen partial-pressure cooling,Mitsuru Sometani,Yoshihito Katsu,Daisuke Nagai,Hidenori Tsuji,Takuji Hosoi,Takayoshi Shimura,Yoshiyuki Yonezawa,Heiji Watanabe,Japanese Journal of Applied Physics,Vol. 57,No. 12,p. 120304-1-120304-4,2018年10月,研究論文(学術雑誌)
  • Sub-nm-scale depth profiling of nitrogen in NO- and N2-annealed SiO2/4H-SiC(0001) structures,K. Moges,M. Sometani,T. Hosoi,T. Shimura,S. Harada,H. Watanabe,Materials Science Forum,Vol. 963,p. 226-229,2018年09月,研究論文(国際会議プロシーディングス)
  • Characterization of SiO2/SiC interface using a Laser Terahertz Emission Microscope,Tatsuhiko Nishimura,Hidetoshi Nakanishi,Iwao Kawayama,Masayoshi Tonouchi,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2018年09月,研究論文(国際会議プロシーディングス)
  • Superiority of pure O2-based gate oxidation on Hall effect mobility of 4H-SiC (0001) MOSFET revealed by low-doped epitaxial wafers,M. Sometani,T. Hosoi,T. Hatakeyama,S. Harada,H. Yano,T. Shimura,H. Watanabe,Y. Yonezawa,H. Okumura,2018年09月,研究論文(国際会議プロシーディングス)
  • 低不純物濃度4H-SiC(0001)基板上に作製したMOSFETのホール効果移動度に対するNO-POAの影響,染谷満,細井卓治,畠山哲夫,原田信介,矢野, 裕司,志村考功,渡部平司,米澤喜幸,奥村元,第79回応用物理学会秋季学術講演会 講演予稿集,2018年09月,研究論文(研究会,シンポジウム資料等)
  • Ba添加によるSiC MOSFET特性向上の起源の検討,藤田栄悟,細井卓治,染谷満,畠山哲夫,原田信介,矢野, 裕司,志村考功,渡部平司,第79回応用物理学会秋季学術講演会 講演予稿集,2018年09月,研究論文(研究会,シンポジウム資料等)
  • Sub-nanometer-scale depth profiling of nitrogen atoms in SiO2/4H-SiC structures treated with NO annealing,Kidist Moges,Mitsuru Sometani,Takuji Hosoi,Takayoshi Shimura,Shinsuke Harada,Heiji Watanabe,Applied Physics Express,Vol. 11,No. 10,p. 101303-1-101303-4,2018年09月,研究論文(学術雑誌)
  • Passive–active oxidation boundary for thermal oxidation of 4H-SiC(0001) surface in O2/Ar gas mixture and its impact on SiO2/SiC interface quality,Takuji Hosoi,Yoshihito Katsu,Kidist Moges,Daisuke Nagai,Mitsuru Sometani,Hidenori Tsuji,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,Vol. 11,No. 9,p. 091301-1-091301-4,2018年08月,研究論文(学術雑誌)
  • Insight into enhanced field-effect mobility of 4H-SiC MOSFET with Ba incorporation studied by Hall effect measurements,Eigo Fujita,Mitsuru Sometani,Tetsuo Hatakeyama,Shinsuke Harada,Hiroshi Yano,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,AIP Advances,Vol. 8,No. 8,p. 085305-1-085305-6,2018年08月,研究論文(学術雑誌)
  • Advancement of X-ray radiography using microfocus X-ray source in conjunction with amplitude grating and SOI pixel detector, SOPHIAS,Ryo Hosono,Tomoki Kawabata,Kiyoshi Hayashida,Togo Kudo,Kyosuke Ozaki,Nobukazu Teranishi,Takaki Hatsui,Takuji Hosoi,Heiji Watanabe,Takayoshi Shimura,Optics Express,Vol. 26,No. 16,p. 21044-21053,2018年08月,研究論文(学術雑誌)
  • GaN-based Metal-Insulator-Semiconductor Transistors on Si for Power Switching Applications,Satoshi Nakazawa,Hong-An Shih,Naohiro Tsurumi,Yoshiharu Anda,Tsuguyasu Hatsuda,Tetsuzo Ueda,Mikito Nozaki,Takahiro Yamada,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Tamotsu Hashizume,2018年06月,研究論文(国際会議プロシーディングス)
  • Implementation of atomic layer deposition-based AlON gate dielectrics in AlGaN/GaN MOS structure and its physical and electrical properties,Mikito Nozaki,Kenta Watanabe,Takahiro Yamada,Hong-An Shih,Satoshi Nakazawa,Yoshiharu Anda,Tetsuzo Ueda,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Japan Society of Applied Physics,Vol. 57,No. 6,p. 06KA02-1-06KA02-7,2018年06月01日,研究論文(国際会議プロシーディングス)
  • SiO2/AlON stacked gate dielectrics for AlGaN/GaN MOS heterojunction field-effect transistors,Kenta Watanabe,Daiki Terashima,Mikito Nozaki,Takahiro Yamada,Satoshi Nakazawa,Masahiro Ishida,Yoshiharu Anda,Tetsuzo Ueda,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Japan Society of Applied Physics,Vol. 57,No. 6,p. 06KA03-1-06KA03-6,2018年06月01日,研究論文(国際会議プロシーディングス)
  • Physical and electrical characterizations of AlGaN/GaN MOS gate stacks with AlGaN surface oxidation treatment,Takahiro Yamada,Kenta Watanabe,Mikito Nozaki,Hong-An Shih,Satoshi Nakazawa,Yoshiharu Anda,Tetsuzo Ueda,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Japanese Journal of Applied Physics,Japan Society of Applied Physics,Vol. 57,No. 6,2018年06月01日,研究論文(国際会議プロシーディングス)
  • AlON/AlGaN/GaN MIS-HFETによる高速スイッチング動作,中澤敏志,施泓安,鶴見直大,按田義治,初田次康,上田哲三,野﨑幹人,山田高寛,細井卓治,志村考功,渡部平司,橋詰保,2018年03月,研究論文(その他学術会議資料等)
  • 裏面照射型近赤外イメージセンサーに向けた基板上単結晶GeSnフォトダイオードアレイの開発,岡博史,井上慶太郎,Thi Thuy Nguyen,黒木伸一郎,細井卓治,志村考功,渡部平司,2018年03月,研究論文(その他学術会議資料等)
  • High-mobility TFT and enhanced luminescence utilizing ucleation-controlled GeSn growth on transparent substrate for monolithic optoelectronic,H. Oka,M. Koyama,T. Tomita,T. Amamoto,K. Tominaga,S. Tanaka,T. Hosoi,T. Shimura,H. Watanabe,2018年01月,研究論文(国際会議プロシーディングス)
  • Si基板上MIS型GaNパワーデバイスの開発,施 泓安,中澤 敏志,鶴見 直大,按田 義治,初田 次康,上田 哲三,野﨑 幹人,山田 高寛,細井 卓治,志村 考功,渡部 平司,橋詰 保,p. 87-90,2018年01月,研究論文(その他学術会議資料等)
  • 横方向液相エピタキシャル成長により作製した引張歪み高濃度n型Ge細線の低温発光特性と共振器の形成,冨田 崇史,岡 博史,井上 慶太郎,細井 卓治,志村 考功,渡部 平司,p. 9-12,2018年01月,研究論文(その他学術会議資料等)
  • 石英基板上単結晶GeSn層形成と光電子デバイス応用,細井 卓治,岡 博史,井上 慶太郎,志村 考功,渡部 平司,p. 151-154,2018年01月,研究論文(その他学術会議資料等)
  • Improvement of SiO2/4H-SiC(0001) interface properties by H2 and Ar mixture gas treatment prior to SiO2 deposition,Hidenori Tsuji,Takuji Hosoi,Yutaka Terao,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 924,p. 461-464,2018年,研究論文(国際会議プロシーディングス)
  • Lightly doped n-type tensile-strained single-crystalline GeSn-on-insulator structures formed by lateral liquid-phase crystallization,Hiroshi Oka,Takashi Tomita,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,Vol. 11,No. 1,p. 011304-1-011304-4,2018年01月,研究論文(学術雑誌)
  • Control of Ga-oxide interlayer growth and Ga diffusion in SiO2/GaN stacks for high-quality GaN-based metal–oxide–semiconductor devices with improved gate dielectric reliability,Takahiro Yamada,Kenta Watanabe,Mikito Nozaki,Hisashi Yamada,Tokio Takahashi,Mitsuaki Shimizu,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Express,Vol. 11,No. 1,p. 015701-1-015701-4,2018年01月01日,研究論文(学術雑誌)
  • Improvements of Grating-based X-ray Phase Contrast Imaging with a Microfocus X-ray Source by a SOI Pixel Detector, SOPHIAS,R. Hosono,D. Tsukamoto,T. Kawabata,K. Hayashida,T. Kudo,K. Ozaki,T. Hatsui,N. Teranishi,T. Hosoi,H. Watanabe,T. Shimura,2017年12月,研究論文(国際会議プロシーディングス)
  • AlGaN/GaN MOS-HFET with high-quality and robust N-incorporated aluminum oxide (AlON) gate insulator,K. Watanabe,M. Nozaki,T. Yamada,S. Nakazawa,M. Ishida,Y. Anda,T. Ueda,A. Yoshigoe,T. Hosoi,T. Shimura,H. Watanabe,2017年12月,研究論文(国際会議プロシーディングス)
  • 4H-SiC(0001) N- and P-channel MOSFETs with Pure SiO2 Gate Dielectrics Formed under Extreme Oxidation Conditions,T. Hosoi,Y. Katsu,K. Moges,H. Tsuji,M. Sometani,T. Shimura,H. Watanabe,2017年12月,研究論文(国際会議プロシーディングス)
  • Fast Switching Performance by 20 A / 730 V AlGaN/GaN MIS-HFET Using AlON Gate Insulator,S. Nakazawa,H.-A. Shih,N. Tsurumi,Y. Anda,T. Hatsuda,T. Ueda,M. Nozaki,T. Yamada,T. Hosoi,T. Shimura,H. Watanabe,T. Hashizume,2017年12月,研究論文(国際会議プロシーディングス)
  • Back-side Illuminated GeSn Photodiode Array on Quartz Substrate Fabricated by Laser-induced Liquid-phase Crystallization for Monolithically-integrated NIR Imager Chip,H. Oka,K. Inoue,T. T. Nguyen,S. Kuroki,T. Hosoi,T. Shimura,H. Watanabe,2017年12月,研究論文(国際会議プロシーディングス)
  • SiO2/AlON Stacked Gate Dielectrics for AlGaN/GaN MOS-HFET,K. Watanabe,D. Terashima,M. Nozaki,T. Yamada,S. Nakazawa,M. Ishida,Y. Anda,T. Ueda,A. Yoshigoe,T. Hosoi,T. Shimura,H. Watanabe,2017年11月,研究論文(国際会議プロシーディングス)
  • AlON Gate Dielectrics Formed by Repeating ALD-based Thin AlN Deposition and In situ Oxidation for AlGaN/GaN MOS-HFETs,M. Nozaki,K. Watanabe,T. Yamada,H. Shih,S. Nakazawa,Y. Anda,T. Ueda,A. Yoshigoe,T. Hosoi,T. Shimura,H. Watanabe,2017年11月,研究論文(国際会議プロシーディングス)
  • レーザーテラヘルツエミッション顕微鏡を用いた 4H-SiC ウエハ/熱酸化膜の特性評価,西村辰彦,中西英俊,川山巌,斗内政吉,細井卓治,志村考功,渡部平司,2017年11月,研究論文(その他学術会議資料等)
  • ジャンクションレス 4H-SiC(0001) MOSFET を用いた高濃度 n+層の電子移動度評価,武田 紘典,細井 卓治,志村 考功,渡部 平司,2017年11月,研究論文(その他学術会議資料等)
  • AlGaN/GaN MOS デバイス向け ALD-AlON ゲート絶縁膜に対する窒素添加効果,野崎 幹人,渡邉 健太,山田 高寛,施 泓安,中澤 敏志,按田 義治,上田 哲三,吉越 章隆,細井 卓治,志村 考功,渡部 平司,2017年11月,研究論文(その他学術会議資料等)
  • 紫外光照射とアニール処理による SiC MOS キャパシタの電気特性改善,大迫 桃恵,細井 卓治,志村 考功,渡部 平司,先進パワー半導体分科会 第1回講演会予稿集,2017年11月,研究論文(その他学術会議資料等)
  • マイクロフォーカスX線源と振幅格子を用いた多波長X線位相イメージング-SOI ピクセル検出器による高度化-,細野凌,塚本大裕,川端智樹,林田 清,工藤統吾,尾崎恭介,初井宇記,寺西信一,細井卓治,渡部平司,志村考功,2017年09月,研究論文(その他学術会議資料等)
  • La埋め込みターゲットを用いたTalbot-Lau干渉計によるX線位相イメージング,塚本大裕,山崎周,細野凌,細井卓治,渡部平司,志村考功,2017年09月,研究論文(その他学術会議資料等)
  • Interface Property of SiO2/4H-SiC(0001) Structures Formed by Ultrahigh-Temperature Oxidation under Low Oxygen Partial Pressure,T. Hosoi,Y. Katsu,D. Nagai,H. Tsuji,M. Sometani,T. Shimura,H. Watanabe,2017年09月,研究論文(国際会議プロシーディングス)
  • Enhancement-mode n-channel TFT and room-temperature near-infrared emission based on n+/p junction in single-crystalline GeSn on transparent substrate,H. Oka,M. Koyama,T. Hosoi,T. Shimura,H. Watanabe,Digest of Technical Papers - Symposium on VLSI Technology,Institute of Electrical and Electronics Engineers Inc.,p. T58-T59,2017年07月31日,研究論文(国際会議プロシーディングス)
  • MOS Interface Engineering for Advanced SiC and GaN Power Devices,T. Hosoi,T. Shimura,H. Watanabe,2017年07月,研究論文(国際会議プロシーディングス)
  • Design and control of interface reaction between Al-based dielectrics and AlGaN layer in AlGaN/GaN metal-oxide-semiconductor structures,Kenta Watanabe,Mikito Nozaki,Takahiro Yamada,Satoshi Nakazawa,Yoshiharu Anda,Masahiro Ishida,Tetsuzo Ueda,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,Vol. 111,No. 4,2017年07月,研究論文(学術雑誌)
  • Enhancement-Mode N-Channel TFT and Room-Temperature Near-Infrared Emission Based on n+/p Junction in Single-Crystalline GeSn on Transparent Substrate,H. Oka,M. Koyama,T. Hosoi,T. Shimura,H. Watanabe,2017年06月,研究論文(国際会議プロシーディングス)
  • Improved interface properties of GaN-based metal-oxide-semiconductor devices with thin Ga-oxide interlayers,Takahiro Yamada,Joyo Ito,Ryohei Asahara,Kenta Watanabe,Mikito Nozaki,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,Vol. 110,No. 26,2017年06月,研究論文(学術雑誌)
  • Structure and Surface Morphology of Thermal SiO2 Grown on 4H-SiC by Metal-Enhanced Oxidation Using Barium,Atthawut Chanthaphan,Yoshihito Katsu,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications, Switzerland,2017年05月,研究論文(学術雑誌)
  • Ultrahigh Temperature Oxidation of 4H-SiC(0001) and an Impact of Cooling Process on SiO2/SiC Interface Properties,Takuji Hosoi,Daisuke Nagai,Mitsuru Sometani,Takayoshi Shimura,Manabu Takei,Heiji Watanabe,Trans Tech Publications,Switzerland,2017年05月,研究論文(学術雑誌)
  • High-mobility TFT and enhanced luminescence utilizing nucleation-controlled GeSn growth on transparent substrate for monolithic optoelectronic integration,H. Oka,M. Koyama,T. Tomita,T. Amamoto,K. Tominaga,S. Tanaka,T. Hosoi,T. Shimura,H. Watanabe,Technical Digest - International Electron Devices Meeting, IEDM,Institute of Electrical and Electronics Engineers Inc.,p. 22.1.1-22.1.4,2017年01月31日,研究論文(国際会議プロシーディングス)
  • Ultrahigh-temperature oxidation of 4H-SiC(0001) and an impact of cooling process on SiO2/SiC interface properties,Takuji Hosoi,Daisuke Nagai,Mitsuru Sometani,Takayoshi Shimura,Manabu Takei,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 897,p. 323-326,2017年,研究論文(国際会議プロシーディングス)
  • Structure and surface morphology of thermal SiO2 grown on 4H-SiC by metal-enhanced oxidation using barium,Atthawut Chanthaphan,Yoshihito Katsu,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 897,p. 340-343,2017年,研究論文(国際会議プロシーディングス)
  • Reliability-aware design of metal/high-k gate stack for high-performance SiC power MOSFET,Takuji Hosoi,Shuji Azumo,Yusaku Kashiwagi,Shigetoshi Hosaka,Kenji Yamamoto,Masatoshi Aketa,Hirokazu Asahara,Takashi Nakamura,Tsunenobu Kimoto,Takayoshi Shimura,Heiji Watanabe,Proceedings of the International Symposium on Power Semiconductor Devices and ICs,Institute of Electrical and Electronics Engineers Inc.,p. 247-250,2017年,研究論文(国際会議プロシーディングス)
  • Comprehensive study on initial thermal oxidation of GaN(0001) surface and subsequent oxide growth in dry oxygen ambient,T. Yamada,J. Ito,R. Asahara,K. Watanabe,M. Nozaki,S. Nakazawa,Y. Anda,M. Ishida,T. Ueda,A. Yoshigoe,T. Hosoi,T. Shimura,H. Watanabe,Journal of Applied Physics,Vol. 121,No. 3,2017年01月,研究論文(学術雑誌)
  • Fabrication of tensile-strained single-crystalline GeSn on transparent substrate by nucleation-controlled liquid-phase crystallization,H. Oka,T. Amamoto,M. Koyama,Y. Imai,S. Kimura,T. Hosoi,T. Shimura,H. Watanabe,Applied Physics Letters,Vol. 110,No. 3,2017年01月,研究論文(学術雑誌)
  • SiO2/GaN 構造の熱酸化処理による極薄GaOx 界面層形成とMOS 界面特性向上,山田 高寛,渡邉 健太,野崎 幹人,吉越 章隆,細井 卓治,志村 考功,渡部 平司,2016年11月
  • AlGaN/GaN MOS-HFET におけるAl 系ゲート絶縁膜の界面反応制御,渡邉 健太,野崎 幹人,山田 高寛,中澤 敏志,按田 義治,石田 昌宏,上田 哲三,吉越 章隆,細井 卓治,志村 考功,渡部 平司,2016年11月,研究論文(その他学術会議資料等)
  • SiO2/SiC 界面窒化処理を施したSiC-MOS デバイスにおける正孔捕獲挙動に関する考察,細井 卓治,勝 義仁,Atthawut Chanthaphan,志村 考功,渡部 平司,2016年11月,研究論文(その他学術会議資料等)
  • 先進パワーデバイスにおける新規ゲート絶縁膜開発-SiC 及びGaN 基板上MOS 構造形成技術の類似点と相違点-,渡部 平司,志村 考功,細井 卓治,2016年11月,研究論文(その他学術会議資料等)
  • 超高温・低酸素分圧ゲート酸化で作製したSiC-MOSFET の電気特性評価,勝 義仁,辻 英徳,細井 卓治,志村 考功,渡部 平司,先進パワー半導体分科会 第5回講演会 予稿集,p. 225-226,2016年11月,研究論文(研究会,シンポジウム資料等)
  • Insight into metal-enhanced oxidation using barium on 4H-SiC surfaces,A. Chanthaphan,Y. Katsu,T. Hosoi,T. Shimura,H. Watanabe,Japanese Journal of Applied Physics,Vol. 55,No. 12,2016年11月,研究論文(学術雑誌)
  • Ultrahigh-temperature rapid thermal oxidation of 4H-SiC(0001) surfaces and oxidation temperature dependence of SiO2/SiC interface properties,T. Hosoi,D. Nagai,M. Sometani,Y. Katsu,H. Takeda,T. Shimura,M. Takei,H. Watanabe,Applied Physics Letters,Vol. 109,No. 18,2016年11月,研究論文(学術雑誌)
  • Gate Stack Technology for Advanced AlGaN/GaN Mos-Hemt Power Devices,H. Watanabe,R. Asahara,J. Ito,K. Watanabe,M. Nozaki,T. Yamada,S. Nakazawa,Y. Anda,M. Ishida,T. Ueda,A. Yoshigoe,T. Hosoi,T. Shimura,2016年09月,研究論文(その他学術会議資料等)
  • Synchrotron radiation X-ray photoelectron spectroscopy of Ti/Al ohmic contacts to n-type GaN: Key role of Al capping layers in interface scavenging reactions,M. Nozaki,J. Ito,R. Asahara,S. Nakazawa,M. Ishida,T. Ueda,A. Yoshigoe,T. Hosoi,T. Shimura,H. Watanabe,Vol. 9,No. 10,2016年09月,研究論文(学術雑誌)
  • Effect of nitrogen incorporation into Al-based gate insulators in AlON/AlGaN/GaN metal–oxide–semiconductor structures,R. Asahara,M. Nozaki,T. Yamada,J. Ito,S. Nakazawa,M. shida,T. Ueda,A. Yoshigoe,T. Hosoi,T. Shimura,H. Watanabe,Applied Physics Express,Vol. 9,No. 10,2016年09月,研究論文(学術雑誌)
  • Impact of Rapid Cooling Process in Ultra-high-temperature Oxidation of 4H-SiC(0001),M. Sometani,D. Nagai,T. Hosoi,T. Shimura,Y. Yonezawa,M. Takei,H. Watanabe,2016 International Conference on Solid State Devices and Materials (SSDM 2011),Vol. 56,No. 4,2016年09月,研究論文(国際会議プロシーディングス)
  • SiO2/SiC界面への異種元素添加による界面準位低減とその留意点,細井 卓治,A. Chanthaphan,勝 義仁,志村 孝功,渡部 平司,2016年08月
  • Analysis of X-ray diffraction curves of trapezoidal Si nanowires with a strain distribution,T. Takeuchi a,K. Tatsumura,T. Shimura,I. Ohdomari,Thin Solid Films,Vol. 612,p. 116-121,2016年06月,研究論文(学術雑誌)
  • 7.2 High-mobility GeSn p-MOSFETs on Transparent Substrate Utilizing Nucleation-controlled Liquid-phase Crystallization,H. Oka,T. Amamoto,T. Hosoi,T. Shimura,H. Watanabe,p. 128-129,2016年06月,研究論文(国際会議プロシーディングス)
  • トップダウン加工によるGeへの引張歪み印加とバンドギャップ変調,田中 章吾,岡 博史,天本 隆史,冨永 幸平,小山 真広,細井 卓治,志村 考功,渡部 平司,2016年01月,研究論文(その他学術会議資料等)
  • GaN熱酸化における酸化物形成過程,伊藤 丈予,淺原 亮平,野崎 幹人,山田 高寛,中澤 敏志,石田 昌宏,上田 哲三,パナソニック,吉越 章隆,細井 卓治,志村 考功,渡部 平司,2016年01月,研究論文(その他学術会議資料等)
  • 横方向液相エピタキシャル成長法によって作製したGeSn-on-insulatorの電気特性評価,冨永 幸平,岡 博史,天本 隆史,細井 卓治,志村 考功,渡部 平司,2016年01月,研究論文(その他学術会議資料等)
  • 局所急速加熱による自己核形成を利用した石英基板上GeSn単結晶成長,岡 博史,天本 隆史,小山 真広,冨永 幸平,細井 卓治,志村 考功,渡部 平司,2016年01月,研究論文(その他学術会議資料等)
  • Improvement of SiO2/4H-SiC interface quality by post-oxidation annealing in N2 at high-temperatures,Atthawut Chanthaphan,Yen Hung Cheng,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 858,p. 627-630,2016年,研究論文(国際会議プロシーディングス)
  • Cathodoluminescence study of SiO2/4H-SiC structures treated with high-temperature post-oxidation annealing,Atthawut Chanthaphan,Yuta Fukushima,Kenji Yamamoto,Masatoshi Aketa,Hirokazu Asahara,Takashi Nakamura,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 858,p. 445-448,2016年,研究論文(国際会議プロシーディングス)
  • Impact of NO annealing on flatband voltage instability due to charge trapping in SiC MOS devices,Yoshihito Katsu,Takuji Hosoi,Yuichiro Nanen,Tsunenobu Kimoto,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 858,p. 599-602,2016年,研究論文(国際会議プロシーディングス)
  • Flatband voltage shift depending on SiO2/SiC interface charges in 4H-SiC MOS capacitors with ALON/SiO2 stacked gate dielectrics,Takuji Hosoi,Shuji Azumo,Kenji Yamamoto,Masatoshi Aketa,Yusaku Kashiwagi,Shigetoshi Hosaka,Hirokazu Asahara,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 858,p. 681-684,2016年,研究論文(国際会議プロシーディングス)
  • Development of a compact compression test stage for synchrotron radiation micro-Laue diffraction measurements of long-period stacking-ordered phases in Mg–Zn–Y alloys,S. Kimura,K. Kajiwara,T. Shimura,Japanese Journal of Applied Physics,Vol. 55,No. 3,p. 038002-1-038002-3,2016年01月,研究論文(学術雑誌)
  • Effect of Nitrogen Incorporation into Al-based Gate Insulator in AlGaN/GaN MOS-HEMT,R. Asahara,M. Nozaki,T. Yamada,J. Ito,S. Nakazawa,M. Ishida,T. Ueda,A. Yoshigoe,T. Hosoi,T. Shimura,H. Watanabe,2015年12月,研究論文(国際会議プロシーディングス)
  • SiO2/SiC Interface Nitridation by High Temperature Pure Nitrogen Annealing,T. Hosoi,A. Chanthaphan,T. Shimura,H. Watanabe,2015年12月,研究論文(国際会議プロシーディングス)
  • Engineering of NiGe/Ge Junction by P Ion Implantation after Germanidation for Metal S/D Ge CMOS Technology,H. Oka,Y. Minoura,R. Asahara,T. Hosoi,T. Shimura,H. Watanabe,Abstracts, 45th IEEE Semiconductor Interface Specialists Conference,2015年12月,研究論文(国際会議プロシーディングス)
  • Enhancement of photoluminescence from n-type tensile-strained GeSn wires on an insulator fabricated by lateral liquid-phase epitaxy,T. Shimura,M. Matsue,K. Tominaga,K. Kajimura,T. Amamoto,T. Hosoi,H. Watanabe,Applied Physics Letters,Vol. 107,No. 22,2015年12月,研究論文(学術雑誌)
  • Insights into thermal diffusion of germanium and oxygen atoms in HfO2/GeO2/Ge gate stacks and their suppressed reaction with atomically thin AlOx interlayers,S. Ogawa,R. Asahara,Y. Minoura,H. Sako,N. Kawasaki,I. Yamada,T. Miyamoto,T. Hosoi,T. Shimura,H. Watanabe,Journal of Applied Physics,Vol. 118,No. 23,p. 23704-1-23704-5,2015年12月,研究論文(学術雑誌)
  • Schottky source/drain germanium-based metal-oxide-semiconductor field-effect transistors with self-aligned NiGe/Ge junction and aggressively scaled high-k gate stack,T. Hosoi,Y. Minoura,R. Asahara,H. Oka,T. Shimura,H. Watanabe,Applied Physics Letters,Vol. 107,No. 25,p. 252104-1-252104-5,2015年12月,研究論文(学術雑誌)
  • The Impact of Energy Barrier Height on Border Traps in III-V Gate Stacks,S. Yoshida,S. Taniguchi,H. Minari,D. Lin,Ts. Ivanov,H. Watanabe,M. Nakazawa,N. Collaert,A. Thean,2015年11月,研究論文(国際会議プロシーディングス)
  • Investigation of Initial Oxide Growth on GaN Epitaxial Films,T. Yamada,J. Ito,R. Asahara,M. Nozaki,S. Nakazawa,M. Ishida,T. Ueda,A. Yoshigoe,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of 2015 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (2015 IWDTF),2015年11月,研究論文(国際会議プロシーディングス)
  • Design and demonstration of phase gratings for 2D single grating interferometer,N. Morimoto,S. Fujino,Y. Ito,A. Yamazaki,I. Sano,T. Hosoi,H. Watanabe,T. Shimura,Optics Express,Vol. 23,No. 23,p. 29399-29412,2015年11月,研究論文(学術雑誌)
  • X-ray Talbot-Lau interferometer using lanthanum targets embedded in diamond substrates,A. Yamazaki,N. Morimoto,S. Fujino,Y. Ito,I. Sano,T. Hosoi,H. Watanabe,T. Shimura,2015年09月,研究論文(国際会議プロシーディングス)
  • 2D x-ray single grating interferometry with embedded metal targets,N. Morimoto,S. Fujino,Y. Ito,A. Yamazaki,I. Sano,T. Hosoi,H. Watanabe,T. Shimura,2015年09月,研究論文(国際会議プロシーディングス)
  • Development of single transmission grating Talbot-Lau interferometer with embedded tungsten targets for 30 keV x rays,Y. Ito,N. Morimoto,S. Fujino,A. Yamazaki,I. Sano,T. Hosoi,H. Watanabe,T. Shimura,2015年09月,研究論文(国際会議プロシーディングス)
  • Synchrotron Radiation X-Ray Photoelectron Spectroscopy Study of Interface Reactions in Al/Ti/GaN Ohmic Contacts,M. Nozaki,J. Ito,R. Asahara,S. Nakazawa,M. Ishida,T. Ueda,A. Yoshigoe,Y. Teraoka,T. Hosoi,T. Shimura,H. Watanabe,2015年09月,研究論文(国際会議プロシーディングス)
  • Study of SiO2/4H-SiC interface nitridation by post-oxidation annealing in pure nitrogen gas,A. Chanthaphan,T. Hosoi,T. Shimura,H. Watanabe,AIP Advances,Vol. 5,No. 9,2015年09月,研究論文(学術雑誌)
  • Exact evaluation of interface-reaction-limited growth in dry and wet thermal oxidation of 4H-SiC(0001) Si-face surfaces,T. Hosoi,D. Nagai,T. Shimura,H. Watanabe,Japanese Journal of Applied Physics,Vol. 54,No. 9,2015年08月,研究論文(学術雑誌)
  • Schottky barrier height modulation at NiGe/Ge interface by phosphorous ion implantation and its application to Ge-based CMOS devices,T. Hosoi,H. Oka,Y. Minoura,T. Shimura,H. Watanabe,The 15th International Workshop on Junction Technology (IWJT2015),2015年06月,研究論文(国際会議プロシーディングス)
  • Comprehensive study and design of scaled metal/high-k/Ge gate stacks with ultrathin aluminum oxide interlayers,R. Asahara,I. Hideshima,H. Oka,Y. Minoura,S. Ogawa,A. Yoshigoe,Y. Teraoka,T. Hosoi,T. Shimura,H. Watanabe,Appl. Phys. Lett.,Vol. 106,No. 23,2015年06月,研究論文(学術雑誌)
  • Cathodoluminescence study of radiative interface defects in thermally grown SiO2/4HSiC(0001) structures,Y. Fukushima,A. Chanthaphan,T. Hosoi,T. Shimura,H. Watanabe,Appl. Phys. Lett.,Vol. 106,No. 26,2015年06月,研究論文(学術雑誌)
  • Two dimensional x-ray phase imaging using single grating interferometer with embedded x-ray targets,N. Morimoto,S. Fujino,A. Yamazaki,Y. Ito,T. Hosoi,H. Watanabe,T. Shimura,Optics Express,Vol. 23,No. 13,p. 16582-16588,2015年06月,研究論文(学術雑誌)
  • 小型タルボ・ロー干渉計によるX線位相イメージング -埋め込みターゲットによる微細X線光源の適用-,志村 考功,検査技術,日本工業出版,Vol. 20,No. 2,p. 56-60,2015年02月
  • Understanding of Bias-Temperature Instability due to Mobile Ions in SiC Metal-Oxide-Semiconductor Devices,A. Chanthaphan,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第20回研究会),2015年01月,研究論文(その他学術会議資料等)
  • Fabrication of high-quality Ge-on-insulator structures by lateral liquid phase epitaxy,T. Shimura,Y. Suzuki,M. Matsue,K. Kajimura,K. Tominaga,T. Amamoto,T. Hosoi,H. Watanabe,ECS Transactions,Electrochemical Society Inc.,Vol. 69,No. 5,p. 305-311,2015年,研究論文(国際会議プロシーディングス)
  • 横方向液相エピタキシャル成長によって作製した絶縁体上GeSnワイヤのフォトルミネッセンス測定によるバンドギャップ変調技術,天本 隆史,冨永 幸平,梶村 恵子,松江 将博,細井 卓治,志村 孝功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第20回研究会),2015年01月,研究論文(その他学術会議資料等)
  • Selective detection and recovery of gold at tannin-immobilized non-conducting electrode,K. Banu,T. Shimura,S. Sadeghi,Analytica Chimica Acta,Vol. 853,p. 207-213,2015年01月,研究論文(学術雑誌)
  • カソードルミネッセンス法による熱酸化SiO2/SiC界面欠陥の検出,福島 悠太,アラン フルカン,樋口 直樹,チャンタパン アタウット,細井 卓治,志村 考功,渡部 平司,先進パワー半導体分科会 第1回講演会予稿集,2014年11月,研究論文(その他学術会議資料等)
  • 4H-SiC(0001)面の熱酸化における酸化種と酸化速度の関係,永井 大介,福島 悠太,勝 義仁,細井 卓治,志村 考功,渡部 平司,先進パワー半導体分科会 第1回講演会予稿集,2014年11月,研究論文(その他学術会議資料等)
  • Mobility characterization of Ge-on-insulator metal-oxide-semiconductor field-effect transistors with striped Ge channels fabricated by lateral liquid-phase epitaxy,T. Hosoi,Y. Suzuki,T. Shimura,H. Watanabe,Appl. Phys. Lett.,Vol. 105,No. 17,p. 173502-1-173502-4,2014年10月,研究論文(学術雑誌)
  • Synchrotron radiation photoemission spectroscopy study of SiO2/4H-SiC(0001) interfaces with NO annealing,T. Hosoi,Y. Nanen,T. Kimoto,A. Yoshigoe,Y. Teraoka,T. Shimura,H. Watanabe,10th European Conference on Silicon Carbide & Related Materials (ECSCRM-2014),2014年09月,研究論文(国際会議プロシーディングス)
  • X-ray Phase Contrast Imaging with a Single Grating Talbot-Lau Interferometer,N. Morimoto,S. Fujino,K. Ohshima,J. Harada,T. Hosoi,H. Watanabe,T. Shimura,International Union of Materials Research Societies- The 15th IUMRS International Conference in Asia 2014(IUMRS-ICA 2014),2014年08月,研究論文(国際会議プロシーディングス)
  • Understanding and engineering of NiGe/Ge junction formed by phosphorous ion implantation after germanidation,H. Oka,Y. Minoura,T. Hosoi,T. Shimura,H. Watanabe,Appl. Phys. Lett.,Vol. 105,No. 6,p. 062107-1-062107-4,2014年08月,研究論文(学術雑誌)
  • X-ray phase contrast imaging by compact Talbot–Lau interferometer with a single transmission grating,N. Morimoto,S. Fujino,K. Ohshima,J. Harada,T. Hosoi,H. Watanabe,T. Shimura,Optics Letters,Vol. 39,No. 15,p. 4297-4300,2014年07月,研究論文(学術雑誌)
  • 極薄EOT high-k/Geゲートスタックの熱安定性及び界面特性改善に向けたプロセス設計,淺原 亮平,細井 卓治,志村 考功,渡部 平司,電子情報通信学会 シリコン材料・デバイス(SDM)研究会, 信学技報,Vol. 114,No. 88,p. 1-5,2014年06月,研究論文(その他学術会議資料等)
  • Schottky Barrier Height Reduction of NiGe/Ge Junction by P Ion Implantation for Metal Source/Drain Ge CMOS Devices,H. Oka,Y. Minoura,T. Hosoi,T. Shimura,H. Watanabe,The 2014 International Meeting for Future of Electron Devices, Kansai,2014年06月,研究論文(国際会議プロシーディングス)
  • Sub-1-nm EOT Schottky Source/Drain Germanium CMOS Technology with Low-temperature Self-aligned NiGe/Ge Junctions,T. Hosoi,Y. Minoura,R. Asahara,H. Oka,T. Shimura,H. Watanabe,2014 IEEE Silicon Nanoelectronics Workshop (SNW),2014年06月,研究論文(国際会議プロシーディングス)
  • Improved bias-temperature instability characteristics in SiC metal-oxide-semiconductor devices with aluminum oxynitride dielectrics,A. Chanthaphan,T. Hosoi,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,Appl. Phys. Lett.,Vol. 104,No. 12,p. 122105-1-122105-5,2014年03月,研究論文(学術雑誌)
  • Development of Multiline Embedded X-ray Targets for Compact Talbot-Lau X-ray Interferometer,N. Morimoto,S. Fujino,K. Ohshima,J. Harada,T. Hosoi,H. Watanabe,T. Shimura,Program & Abstracts of International Workshop on Atomically Controlled Fabrication Technology,2014年02月,研究論文(その他学術会議資料等)
  • Bias-temperature instability of SiC-MOS devices induced by unusual generation of mobile ions in thermal oxides,A. Chanthaphan,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Program & Abstracts of International Workshop on Atomically Controlled Fabrication Technology,2014年02月,研究論文(その他学術会議資料等)
  • X-ray phase contrast imaging by compact Talbot-Lau interferometer without absorption grating,N. Morimoto,S. Fujino,K. Ohshima,J. Harada,T. Hosoi,H. Watanabe,T. Shimura,International Workshop on X-ray and Neutron Phase Imaging with Gratings (XNPIG2014),2014年01月,研究論文(国際会議プロシーディングス)
  • NiGe/Ge接合へのP添加によるn型Ge基板上オーミックコンタクトの形成,岡 博史,箕浦 佑也,細井 卓治,松垣 仁,黒木 伸一郎,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第19回研究会),2014年01月,研究論文(その他学術会議資料等)
  • 極限EOT実現に向けた極薄AlOx層によるHigh-k/Ge ゲートスタック界面制御,田中 亮平,秀島 伊織,箕浦 佑也,吉越 章隆,寺岡 有殿,細井 卓治,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第19回研究会),2014年01月,研究論文(国際会議プロシーディングス)
  • Design of compact Talbot-Lau interferometer with embedded X-ray targets disregarding Talbot distance,S. Fujino,N. Morimoto,K. Ohshima,J. Harada,T. Hosoi,H. Watanabe,T. Shimura,International Workshop on X-ray and Neutron Phase Imaging with Gratings (XNPIG2014),2014年01月,研究論文(国際会議プロシーディングス)
  • Insights into ultraviolet-induced electrical degradation of thermally grown SiO2/4H-SiC(0001) interface,D. Ikeguchi,T. Hosoi,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,Appl. Phys. Lett.,Vol. 104,No. 1,p. 012107-1-012107-4,2014年01月,研究論文(学術雑誌)
  • Strain-induced direct band gap shrinkage in local Ge-on-insulator structures fabricated by lateral liquid-phase epitaxy,M. Matsue,Y. Yasutake,S. Fukatsu,T. Hosoi,T. Shimura,H. Watanabe,Appl. Phys. Lett.,Vol. 104,No. 3,p. 031106-1-031106-4,2014年01月,研究論文(学術雑誌)
  • HfO2絶縁膜を用いたSiC-MOS界面設計,樋口 直樹,福島 悠太,細井 卓治,志村 考功,渡部 平司,SiC及び関連半導体研究 第22回講演会予稿集,2013年12月,研究論文(その他学術会議資料等)
  • AlON/SiO2積層ゲート絶縁膜によるSiC MOSデバイスのBTI特性改善,Chanthaphan Atthawut,中野 佑紀,中村 孝,細井 卓治,志村 考功,渡部 平司,SiC及び関連半導体研究 第22回講演会予稿集,2013年12月,研究論文(その他学術会議資料等)
  • Enhanced direct bandgap photoluminescence from local Ge-on-insulator structures fabricated by lateral liquid-phase epitaxy –Material and strain engineering toward CMOS compatible group-Ⅳ photonics-,M. Matsue,Y. Yasutake,S. Fukatsu,T. Hosoi,T. Shimura,H. Watanabe,Abstracts, 44th IEEE Semiconductor Interface Specialists Conference,2013年12月,研究論文(国際会議プロシーディングス)
  • Electrical and physical properties of SiO2 gate dielectrics grown on 4H-SiC (Invited),T. Hosoi,Y. Uenishi,A. Chanthaphan,D. Ikeguchi,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,The 8th international conference on advanced materials upon the proven concept and continues the tradition of its seven predecessors (THERMEC2013),2013年12月,研究論文(国際会議プロシーディングス)
  • Effective Hole Mobility of GOI MOSFET Fabricated by Lateral Liquid-Phase Epitaxiay,T. Hosoi,Y. Suzuki,H. Nishikawa,M. Matsue,T. Shimura,H. Watanabe,Extended Abstracts of 2013 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF2013),2013年11月,研究論文(国際会議プロシーディングス)
  • Phosphorous Ion Implantation into NiGe Layer for Ohmic Contact Formation on n-Ge,Y. Minoura,T. Hosoi,J. Matsugaki,S. Kuroki,T. Shimura,H. Watanabe,Extended Abstracts of 2013 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF2013),Vol. 53,No. 8,p. 55-59,2013年11月,研究論文(国際会議プロシーディングス)
  • Suppression of Mobile Ion Diffusion with AlON/SiO2 Stacked Gate Dielectrics for Improving Bias-Temperature Instability in SiC-MOS Devices,A. Chanthaphan,T. Hosoi,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,International Conference on Silicon Carbide and Related Materials 2013 (ICSCRM2013),2013年10月,研究論文(国際会議プロシーディングス)
  • Degradation of SiO2/SiC Interface Properties due to Mobile Ions Intrinsically Generated by High-Temperature Hydrogen Annealing,A. Chanthaphan,T. Hosoi,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,International Conference on Silicon Carbide and Related Materials 2013 (ICSCRM2013),Vol. 778-780,p. 541-+,2013年10月,研究論文(国際会議プロシーディングス)
  • Retarded Oxide Growth on 4H-SiC(0001) Substrates Due to Sacrificial Oxidation,T. Hosoi,Y. Uenishi,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,International Conference on Silicon Carbide and Related Materials 2013 (ICSCRM2013),Vol. 778-780,p. 562-+,2013年10月,研究論文(国際会議プロシーディングス)
  • 山元 隆志, 小川 慎吾, 細井 卓治, 志村 考巧, 渡部 平司,メタルゲート/High-k ゲート絶縁膜の界面構造解析,第77回半導体・集積回路技術シンポジウム,2013年07月
  • Design and contro of Ge-based metal-oxide-semiconductor interfaces for high-mobility field-effect transistors with ultrathin oxynitride gate dielectrics,Y. Minoura,A. Kasuya,T. Hosoi,T. Shimura,H. Watanabe,Appl. Phys. Lett.,Vol. 103,No. 3,2013年07月,研究論文(学術雑誌)
  • SiCパワーMOSFET向け高誘電率ゲート絶縁膜技術 (依頼講演),細井 卓治,東雲 秀司,柏木 勇作,保坂 重敏,中村 亮太,中野 佑紀,浅原 浩和,中村 孝,木本 恒暢,志村 考功,渡部 平司,電子情報通信学会 シリコン材料・デバイス(SDM)研究会(応用物理学会、シリコンテクノロジー分科会との合同開催),2013年06月,研究論文(その他学術会議資料等)
  • 熱酸化SiC-MOSデバイス中の可動イオンの異常生成と特性改善技術 (依頼講演),渡部 平司,チャンタパン アタウット,中野 佑紀,中村 孝,細井 卓治,志村 考功,電子情報通信学会 シリコン材料・デバイス(SDM)研究会(応用物理学会、シリコンテクノロジー分科会との合同開催),2013年06月,研究論文(その他学術会議資料等)
  • Metal/High-k/Geゲートスタックにおけるジャーマナイド形成とその電気特性への影響,細井 卓治,秀島 伊織,箕浦 佑也,田中 亮平,吉越 章隆,寺岡 有殿,志村 考功,渡部 平司,電子情報通信学会 シリコン材料・デバイス(SDM)研究会(応用物理学会、シリコンテクノロジー分科会との合同開催),Vol. 113,No. 87,p. 19-23,2013年06月,研究論文(学術雑誌)
  • Understanding and controlling bias-temperature instability in SiC metal-oxide-semiconductor devices induced by unusual generation of mobile ions,A. Chanthaphan,T. Hosoi,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,Appl. Phys. Lett.,Vol. 102,No. 9,2013年03月,研究論文(学術雑誌)
  • Ge diffusion and bonding state change in metal/high-k/Ge gate stacks and its impact on electrical properties,T. Hosoi,I. Hideshima,R. Tanaka,Y. Minoura,A. Yoshigoe,Y. Teraoka,T. Shimura,H. Watanabe,Microelectronic Engineering,Vol. 109,p. 137-141,2013年03月,研究論文(学術雑誌)
  • Electrical detection of surface plasmon resonance phenomena by a photoelectronic device integrated with gold nanoparticle plasmon antenna,Tatsuya Hashimoto,Yurie Fukunishi,Bin Zheng,Yukiharu Uraoka,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Physics Letters,Vol. 102,No. 8,2013年02月25日,研究論文(学術雑誌)
  • Hard x-ray phase contrast imaging using a tabletop Talbot-Lau interferometer with multiline embedded x-ray targets,Takayoshi Shimura,Naoki Morimoto,Sho Fujino,Takaharu Nagatomi,Keni-Chi Oshima,Jimpei Harada,Kazuhiko Omote,Naohisa Osaka,Takuji Hosoi,Heiji Watanabe,Optics Letters,Vol. 38,No. 2,p. 157-159,2013年01月15日,研究論文(学術雑誌)
  • AlONゲート絶縁膜導入によるSiCパワーMOSFETの高性能化及び信頼性向上,細井 卓治,東雲 秀司,柏木 勇作,保坂 重敏,中村 亮太,箕谷 周平,中野 佑紀,浅原 浩和,中村 孝,木本 恒暢,志村 考功,渡部 平司,電子情報通信学会 シリコン材料・デバイス研究会(SDM),2013年01月,研究論文(その他学術会議資料等)
  • SiC熱酸化膜特有の可動イオン生成とその除去,Atthawut Chanthaphan,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第18回研究会),2013年01月,研究論文(その他学術会議資料等)
  • 高移動度Ge CMOSの実現に向けたGeON/Geゲートスタックのプロセス設計,箕浦 佑也,糟谷 篤志,細井 卓治,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第18回研究会),2013年01月,研究論文(その他学術会議資料等)
  • 横方向液相エピタキシャル成長により作製したGOI MOSFETのキャリア移動度評価,松江 将博,鈴木 雄一朗,西川 弘晃,細井 卓治,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第18回研究会),2013年01月
  • Dielectric properties of thermally grown SiO2 on 4H-SiC(0001) substrates,Takuji Hosoi,Yusuke Uenishi,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Vol. 740-742,p. 605-608,2013年,研究論文(国際会議プロシーディングス)
  • Improvement of Ultrathin GeON/Ge Interface Properties for High-mobility Ge MOSFETs,I. Hideshima,Y. Minoura,A. Kasuya,T. Hosoi,T. Shimura,H. Watanabe,8th Handai Nanoscience and nanotechnology International Symposium,2012年12月
  • Mobile Ions Generated in Thermal SiO2 on SiC by Hydrogen Passivation and Its Impact on Interface Property,T. Hosoi,A. Chanthaphan,S. Mitani,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,The 43rd IEEE Semiconductor Interface Specialists Conference,2012年12月,研究論文(国際会議プロシーディングス)
  • Implementation of GeON Gate Dielectrics for Dual-Channel Ge CMOS Technology,Y. Minoura,A. Kasuya,T. Hosoi,T. Shimura,H. Watanabe,The 43rd IEEE Semiconductor Interface Specialists Conference,2012年12月,研究論文(国際会議プロシーディングス)
  • Al-inserted TiN Gate Electrodes with Low-Pressure Oxidation for Effective Work Function Control of Gate-First Poly-Si/TiN/HfSiO Stacks,K. Chikaraishi,T. Minami,N. Kitano,T. Seino,N. Yamaguchi,T. Nakagawa,T. Hosoi,T. Shimura,H. Watanabe,The 43rd IEEE Semiconductor Interface Specialists Conference,2012年12月,研究論文(国際会議プロシーディングス)
  • Synchrotron X-ray topography of supercritical-thickness strained silicon-on-insulator wafers for crystalline quality evaluation and electrical characterization using back-gate transistors,T. Shimura,D. Shimokawa,T. Matsumiya,N. Morimoto,A. Ogura,S. Iida,T. Hosoi,H. Watanabe,Current Applied Physics,Vol. 12,p. S69-S74,2012年12月,研究論文(学術雑誌)
  • Gate stack technology for advanced high-mobility Ge-channel metal-oxide-semiconductor devices e Fundamental aspects of germanium oxides and application of plasma nitridation technique for fabrication of scalable oxynitride,H. Watanabe,K. Kutsuki,A. Kasuya,I. Hideshima,G. Okamoto,S. Saito,T. Ono,T. Hosoi,T. Shimura,Current Applied Physics,Vol. 12,p. S10-S19,2012年12月,研究論文(学術雑誌)
  • Effective work function control of metal inserted poly-Si electrodes on HfSiO dielectrics by in-situ oxygen treatment of metal surface,N. Kitano,K. Chikaraishi,H. Arimura,T. Hosoi,T. Shimura,T. Nakagawa,H. Watanabe,Current Applied Physics,Vol. 12,p. S83-S86,2012年12月,研究論文(学術雑誌)
  • Relationship between interface property and energy band alignment of thermally grown SiO2 on 4H-SiC(0001),T. Hosoi,T. Kirino,S. Mitani,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,Current Applied Physics,Vol. 12,p. S79-S82,2012年12月,研究論文(学術雑誌)
  • Performance and Reliability Improvement in SiC Power MOSFETs by Implementing AlON High-k Gate Dielectrics,T. Hosoi,S. Azumo,Y. Kashiwagi,S. Hosaka,R. Nakamura,S. Mitani,Y. Nakano,H. Asahara,T. Nakamura,T. Kimoto,T. Shimura,H. Watanabe,2012 IEEE International Electron Devices Meeting (IEDM),2012年12月,研究論文(国際会議プロシーディングス)
  • Fabrication of Ge-on-insulator structure by lateral liquid-phase epitaxy and its electrical characterization using back-gate transistors,T. Shimura,Y. Suzuki,S. Ogiwara,T. Hosoi,H. Watanabe,The 6th International Symposium on Advanced Science and Technology of Silicon Materials,2012年11月,研究論文(国際会議プロシーディングス)
  • 熱酸化SiO2/SiC構造における紫外線誘起欠陥生成機構の検討,池口 大輔,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村 考功,渡部 平司,SiC及び関連ワイドギャップ半導体研究会第21回講演会予稿集,2012年11月,研究論文(その他学術会議資料等)
  • SiC熱酸化膜の比誘電率に関する考察,細井 卓治,上西 悠介,箕谷 周平,中野 佑紀,中村 孝,志村 考功,渡部 平司,SiC及び関連ワイドギャップ半導体研究会第21回講演会予稿集,2012年11月,研究論文(その他学術会議資料等)
  • バイアス温度ストレス印加を利用した4H-SiC熱酸化膜中の可動イオン除去,Atthawut Chanthaphan,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村考功,渡部 平司,SiC及び関連ワイドギャップ半導体研究会第21回講演会予稿集,2012年11月,研究論文(その他学術会議資料等)
  • Fabrication of High-quality SiGe-on-Insulator and Ge-on-Insulator Structures by Rapid Melt Growth (Invited),T. Shimura,C. Yoshimoto,T. Hashimoto,S. Ogiwara,Y. Suzuki,T. Hosoi,H. Watanabe,The International Symposium on Visualization in Joining & Welding Science through Advanced Measurements and Simulation (Visual-JW2012),2012年11月,研究論文(国際会議プロシーディングス)
  • High-mobility p-channel metal-oxide-semiconductor field-effect transistors on Ge-on-insulator structures formed by lateral liquid-phase epitaxy,Y. Suzuki,S. Ogiwara,T. Hosoi,T. Shimura,H. Watanabe,Appl. Phys. Lett.,Vol. 101,No. 20,2012年11月,研究論文(学術雑誌)
  • Germanium Nitride Interface Layer for High-k/Ge Gate Stacks,T. Hosoi,G. Okamoto,K. Kutsuki,I. Hideshima,A. Yoshigoe,Y. Teraoka,T. Shimura,H. Watanabe,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月,研究論文(その他学術会議資料等)
  • Evaluation of Carrier Mobility in Local GOI Structures Formed by Lateral Liquid-Phase Epitaxy,Y. Suzuki,S. Ogiwara,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月,研究論文(その他学術会議資料等)
  • Application of Multiline Embedded X-ray Targets to X-ray Talbot-Lau Interferometer,N. Morimoto,S. Fujino,T. Nagatomi,K. Ohshima,J. Harada,K. Omote,N. Osaka,T. Hosoi,H. Watanabe,T. Shimura,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月,研究論文(その他学術会議資料等)
  • High-quality Fully Relaxed SiGe Layers Fabricated on Silicon-on-Insulator Wafers by Rapid Melt Growth,T. Shimura,S. Ogiwara,C. Yoshimoto,T. Hosoi,H. Watanabe,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月,研究論文(その他学術会議資料等)
  • Improvement of Thermal SiO2/4H-SiC Interface by UV Irradiation and Subsequent High Temperature Annealing,D. Ikeguchi,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月,研究論文(その他学術会議資料等)
  • Process Optimization of GeON/Ge Gate Stacks for High-mobility Ge-based CMOS Devices,Y. Minoura,A. Kasuya,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月,研究論文(その他学術会議資料等)
  • Advanced Poly-Si/TiN Gate Electrode for Gate-first Metal/high-k PMOSFET,K. Chikaraishi,T. Minami,N. Kitano,T. Seino,N. Yamaguchi,T. Nakagawa,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月,研究論文(その他学術会議資料等)
  • Gate Stack Technology for Next-Generation Green Electronics,H. Watanabe,T. Shimura,T. Hosoi,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月,研究論文(その他学術会議資料等)
  • Elimination of Mobile Ions in Thermal Oxide of SiC MOS Devices,A. Chanthaphan,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月,研究論文(その他学術会議資料等)
  • Fabrication and Evaluation of Photoelectronic Devices Integrated with Gold Nanoparticle Plasmon Antenna,T. Hashimoto,Y. Fukunishi,Z. Bin,Y. Uraoka,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,2012年10月,研究論文(その他学術会議資料等)
  • Interface Engineering between Metal Electrode and GeO2 Dielectric for Future Ge-based Metal-Oxide-Semiconductor Technologies,S. Ogawa,I. Hideshima,Y. Minoura,T. Yamamoto,A. Yasui,H. Miyata,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fifth International Symposium on Atomically Controlled Fabrication Technology,Vol. 101,No. 20,2012年10月,研究論文(学術雑誌)
  • Fabrication of high-quality GOI and SGOI structures by rapid melt growth method - Novel platform for high-mobility transistors and photonic devices - (Invited),H. Watanabe,Y. Suzuki,S. Ogiwara,N. Kataoka,T. Hashimoto,T. Hosoi,T. Shimura,Pacific Rim Meeting on Electrochemical and Solid-state Science (PRiMe 2012),Vol. 50,No. 4,p. 261-266,2012年10月,研究論文(国際会議プロシーディングス)
  • Characterization of multicrystalline Si in solar modules by synchrotron white x-ray microbeam diffraction,T. Shimura,T. Matsumiya,N. Morimoto,S. Fujino,T. Hosoi,K. Kajiwara,J. Chen,T. Sekiguchi,H. Watanabe,Abstracts of 11th Biennial Conference on High Resolution X-Ray Diffraction and Imaging (XTOP 2012),2012年09月,研究論文(国際会議プロシーディングス)
  • Effective Work Function Control of MIPS/High-k Gate Stacks by Al-Incorporation and in situ Low-Pressure Oxidation of TiN Surface,K. Chikaraishi,T. Minami,N. Kitano,T. Seino,N. Yamaguchi,T. Nakagawa,T. Hosoi,T. Shimura,H. Watanabe,Program and Abstrats of Plenary, Forums, Somiya Award and Special Lecture, IUMRS-ICEM 2012,2012年09月,研究論文(国際会議プロシーディングス)
  • Rapid Melt Growth of Fully Relaxed SiGe Layers with High Ge Concentration on Silicon-on-Insulator Substrates,T. Shimura,S. Ogiwara,Y. Suzuki,C. Yoshimoto,T. Hosoi,H. Watanabe,Program and Abstrats of Plenary, Forums, Somiya Award and Special Lecture, IUMRS-ICEM 2012,2012年09月,研究論文(国際会議プロシーディングス)
  • Development of multiline embedded X-ray targets for X-ray phase contrast imaging,N. Morimoto,S. Fujino,T. Nagatomi,K. Ohshima,J. Harada,K. Omote,N. Osaka,T. Hosoi,H. Watanabe,T. Shimura,Abstracts of 11th Biennial Conference on High Resolution X-Ray Diffraction and Imaging (XTOP 2012),2012年09月,研究論文(国際会議プロシーディングス)
  • Novel approach for improving interface quality of 4H-SiC MOS devices with UV irradiation and subsequent thermal annealing,H. Watanabe,D. Ikeguchi,T. Kirino,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,9th European Conference on Silicon Carbide & Related Materials (ECSCRM-2012),Vol. 740-742,p. 741-+,2012年09月,研究論文(国際会議プロシーディングス)
  • Synthesis of large-scale transparent gold nanosheets sandwiched between stabilizers at a solid–liquid interface,Khaleda Banu,Takayoshi Shimura,New J. Chem.,Vol. 36,No. 10,p. 2112-2120,2012年07月,研究論文(学術雑誌)
  • Gate Stack Technologies for Silicon Carbide Power MOS Devices (Invited),T. Hosoi,T. Kirino,Y. Uenishi,D. Ikeguchi,A. Chanthaphan,A. Yoshigoe,Y. Teraoka,S. Mitani,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2012),2012年06月,研究論文(国際会議プロシーディングス)
  • TiN電極中の酸素に起因したHf系High-kゲート絶縁膜の特性劣化,細井 卓治,大嶽 祐輝,有村 拓晃,力石 薫介,北野 尚武,志村 考功,渡部 平司,電子情報通信学会 シリコン材料・デバイス(SDM)研究会,一般社団法人電子情報通信学会,Vol. 112,No. 92,p. 43-46,2012年06月,研究論文(その他学術会議資料等)
  • 極薄GeON膜を用いた高移動度Ge MOSFETの作製と電気特性評価,箕浦 佑也,糟谷 篤志,細井 卓治,志村 考功,渡部 平司,電子情報通信学会 シリコン材料・デバイス(SDM)研究会,2012年06月,研究論文(その他学術会議資料等)
  • Investigation of unusual mobile ion effects in thermally grown SiO2 on 4H-SiC(0001) at high temperatures,A. Chanthaphan,T. Hosoi,S. Mitani,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,Appl. Phys. Lett.,Vol. 100,No. 25,2012年06月,研究論文(学術雑誌)
  • Impact of Si duffusion barrier layer formed on TiN surface by in-situ oxygen treatment process for advanced gate-first metal/high-k stacks,N. Kitano,K.Chikaraishi,H. Arimura,T. Hosoi,T. Shimura,T. Seino,H. Watanabe,T. Nakagawa,221st ECS Meeting - Seattle, WA,Vol. 45,No. 3,p. 145-149,2012年05月,研究論文(国際会議プロシーディングス)
  • Insight into Bias-temperature Instability of 4H-SiC MOS Devices with Thermally Grown SiO2 Dielectrics,Atthawut Chanthaphan,Takashi Kirino,Yusuke Uenishi,Daisuke Ikeguchi,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2012 MRS Spring Meeting,2012年04月,研究論文(国際会議プロシーディングス)
  • Comprehensive Study of the X-Ray Photoelectron Spectroscopy Peak Shift of La-Incorporated Hf Oxide for Gate Dielectrics,Takashi Yamamoto,Shingo Ogawa,Jun-ichi Tsuji,Koji Kita,Katsunori Tagami,Tsuyoshi Uda,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Jpn. J. Appl. Phys.,Vol. 51,No. 4,2012年04月,研究論文(学術雑誌)
  • 放射光X 線トポグラフィによる極薄ひずみSi 層の結晶性評価,志村考功,細井卓治,渡部平司,日本結晶学会誌,2012年03月
  • Oxygen-induced high-k degradation in TiN/HfSiO gate stacks,Takuji Hosoi,Yuki Odake,Keisuke Chikaraishi,Hiroaki Arimura,Naomu Kitano,Takayoshi Shimura,Heiji Watanabe,2012 IEEE Silicon Nanoelectronics Workshop, SNW 2012,2012年,研究論文(国際会議プロシーディングス)
  • 横方向液相エピタキシャル成長により作製した単結晶GOI構造の電気特性評価,鈴木 雄一朗,荻原 伸平,細井 卓治,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第17回研究会),2012年01月,研究論文(その他学術会議資料等)
  • ゲルマニウム熱酸化膜中の残留秩序構造,志村 考功,下川 大輔,松宮 拓也,細井 卓冶,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第17回研究会),2012年01月,研究論文(その他学術会議資料等)
  • TiN電極の減圧表面酸化処理によるpoly-Si/TiN/HfSiO/SiO2ゲートスタックの実効仕事関数制御,力石 薫介,北野 尚武,有村 拓晃,細井 卓治,志村考功,中川 隆史,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第17回研究会),2012年01月,研究論文(その他学術会議資料等)
  • 紫外線照射による熱酸化 SiO2/SiC 構造中の電気的欠陥生成,池口大輔,桐野嵩史,箕谷周平,中野佑紀,中村孝,細井卓治,志村考功,渡部平司,SiC及び関連ワイドギャップ半導体研究会 第20回講演会 予稿集,2011年12月,研究論文(その他学術会議資料等)
  • 高温条件下における4H-SiC MOS デバイスの不安定性,Atthawut Chanthaphan,桐野嵩史,上西悠介,池口大輔,箕谷周平,中野佑紀,中村孝,細井卓治,志村考功,渡部平司,SiC及び関連ワイドギャップ半導体研究会 第20回講演会 予稿集,2011年12月,研究論文(その他学術会議資料等)
  • High-mobility Ge-on-insulator p-channel MOSFETs fabricated by lateral liquid-phase epitaxy,Y. Suzuki,S. Ogiwara,T. Hosoi,T. Shimura,H. Watanabe,Abstracts, 42nd IEEE Semiconductor Interface Specialists Conference,2011年12月,研究論文(国際会議プロシーディングス)
  • Drastic degradation in dielectric properties of TiN/HfSiO/SiO2 gate stacks due to Hf uptake property of TiN electrodes,T. Hosoi,H. Arimura,Y. Odake,N. Kitano,T. Shimura,H. Watanabe,Abstracts, 42nd IEEE Semiconductor Interface Specialists Conference,2011年12月,研究論文(国際会議プロシーディングス)
  • Characterization of Grain Boundaries and Lattice Strain in Multicrystalline Si for Solar Cells by Synchrotron White X-ray Micro-beam Diffraction Method,T. Matsumiya,N. Morimoto,S. Fujino,T. Hosoi,T. Shimura,K. Kajiwara,J. Chen,T. Sekiguchi,H. Watanabe,Program and Abstracts of 7th Handai Nanoscience and nanotechnology International Symposium,2011年11月
  • Investigation of Mobile Ion Generation in Thermal Oxide of 4H-SiC(0001) MOS Devices with High-Temperature Hydrogen Annealing,Atthawut Chanthaphan,Takashi Kirino,Yusuke Uenishi,Daisuke Ikeguchi,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Abstracts of 15th International Conference on Thin Films (ICTF-15),2011年11月,研究論文(国際会議プロシーディングス)
  • Modulation of Conduction Band Offset at SiO2/4H-SiC Interface Depending on Interface Defect Passivation Treatment,T. Hosoi,T. Kitano,A. Chanthaphan,Y. Uenishi,D. Ikeguchi,A. Yoshigoe,Y. Teraoka,S. Mitani,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology,2011年11月,研究論文(その他学術会議資料等)
  • Two-Dimensional Strain Measurement of Strained Silicon Wafer by Synchrotron X-ray Topography and its Electrical Characterization Using Back-Gate Transistors,T. Shimura,D. Shimokawa,T. Matsumiya,N. Morimoto,A. Ogura,T. Hosoi,H. Watanabe,Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology,2011年11月,研究論文(その他学術会議資料等)
  • Effective Work Function Control of Metal Inserted Poly-Si Electroodes on HfSiO Dielectrics by In-situ Oxygen Treatment Process,N. Kitano,K. Chikaraishi,H. Arimura,T. Hosoi,T. Shimura,T. Nakagawa,H. Watanabe,Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology,2011年11月,研究論文(その他学術会議資料等)
  • Al-based High-k/Ge Gate Stacks Fabricated by Post-Deposition Oxidation of Ultrathin Al Layer on Ge Substrates,I. Hideshima,A. Kasuya,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology,2011年11月,研究論文(その他学術会議資料等)
  • Investigation of UV-Induced Electrical Defects in Thermally Grown 4H-SiC MOS Devices,D. Ikeguchi,T. Kirino,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology,2011年11月,研究論文(その他学術会議資料等)
  • Flatband Voltage Instability Due to Mobile Ions in 4H-SiC Metal-Oxide-Semiconductor Devices,A. Chanthaphan,T. Kirino,Y. Uenishi,D. Ikeguchi,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology,2011年11月,研究論文(その他学術会議資料等)
  • High-Quality Single-Crystalline Ge-on-Insulator P-Channel MOSFETs Formed by Lateral Liquid-Phase Epitaxy,T. Suzuki,S. Ogiwara,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology,2011年11月,研究論文(その他学術会議資料等)
  • High-mobility Ge MOSFETs with GeON gate dielectrics formed by plasma nitridation of ultrathin GeO2,A. Kasuya,K. Kutsuki,I. Hideshima,Y. Minoura,T. Hosoi,T. Shimura,H. Watanabe,Program and Abstracts of 7th Handai Nanoscience and nanotechnology International Symposium,2011年11月
  • Fabrication of High-quality GOI and SGOI Structures by Rapid Melt Growth Method,H. Watanabe,C. Yoshimoto,T. Hashimoto,S. Ogiwara,Y. Suzuki,T. Hosoi,T. Shimura,Extended Abstracts of Fourth International Symposium on Atomically Controlled Fabrication Technology,2011年11月,研究論文(国際会議プロシーディングス)
  • High-Quality Al2O3/GeO2 Gate Dielectrics Formed by Post-Deposition Oxidation of Ultrathin Metal Al Layer on Ge Substrates,Iori Hideshima,Atsushi Kasuya,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Abstracts of 15th International Conference on Thin Films (ICTF-15),Vol. 12,p. S75-S78,2011年11月,研究論文(国際会議プロシーディングス)
  • Passivation of High-k Bulk and Interface Defects by Incorporating La into Hf-silicate and its Impact on Carrier Mobility [SISC] (Invited),M. Saeki,H. Arimura,N. Kitano,T. Hosoi,T. Shimura,H. Watanabe,IEEE 11th Kansai Colloquium, Electron Devices Workshop,2011年10月,研究論文(国際会議プロシーディングス)
  • Insight into unusual impurity absorbability of GeO2 in GeO2/Ge stacks,Shingo Ogawa,Taichi Suda,Takashi Yamamoto,Katsuhiro Kutsuki,Iori Hideshima,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Appl. Phys. Lett.,Vol. 99,No. 14,2011年10月,研究論文(学術雑誌)
  • Detrimental Hf penetration into TiN gate electrode and subsequent degradation in dielectric properties of HfSiO high-k film,Hiroaki Arimura,Yuki Odake,Naomu Kitano,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Appl. Phys. Lett.,Vol. 99,No. 14,2011年10月,研究論文(学術雑誌)
  • Analysis of Grain Orientation and Lattice Strain in Multicrystalline Silicon for Photovoltaic Cells by Synchrotron White X-ray Micro-beam Diffraction Method,T. Shimura,T. Matsumiya,N. Morimoto,T. Hosoi,K. Kajiwara,J. Chen,T. Sekiguchi,H. Watanabe,Abstracts of 14the International Conference on Defects-Recognition, Imaging and Physics in Semiconductors (DRIP-XIV),Vol. 725,p. 153-+,2011年09月,研究論文(国際会議プロシーディングス)
  • Synchrotron Radiation Photoelectron Spectroscopy Study of Thermally Grown Oxides on 4H-SiC(0001) Si-face and (000-1) C-face Substrates (Invited),Heiji Watanabe,Takuji Hosoi,Takashi Kirino,Yusuke Uenishi,Atthawut Chanthaphan,Akitaka Yoshigoe,Yuden Teraoka,Suhei Mitani,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,2011 International Conference on Silicon Carbide and Related Materials Abstract Book,Vol. 717-720,p. 697-+,2011年09月,研究論文(国際会議プロシーディングス)
  • Impact of Interface Defect Passivation on Conduction Band Offset at SiO2/4H-SiC Interface,Takuji Hosoi,Takashi Kirino,Atthawut Chanthaphan,Yusuke Uenishi,Daisuke Ikeguchi,Akitaka Yoshigoe,Yuden Teraoka,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,2011 International Conference on Silicon Carbide and Related Materials Abstract Book,Vol. 717-720,p. 721-+,2011年09月,研究論文(国際会議プロシーディングス)
  • Impact of UV Irradiation on Thermally Grown 4H-SiC MOS Devices,Daisuke Ikeguchi,Takashi Kirino,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2011 International Conference on Silicon Carbide and Related Materials Abstract Book,Vol. 717-720,p. 765-+,2011年09月,研究論文(国際会議プロシーディングス)
  • 高温熱処理によるTiN/HfLaSiO/SiO2ゲートスタック中Hf及びLa原子のTiN電極中への拡散とMIPS構造による抑制,大嶽祐輝,有村拓晃,佐伯雅之,力石薫介,北野尚武,細井卓治,志村考功,渡部平司,電子情報通信学会 シリコン材料・デバイス(SDM)研究会誌,2011年07月,研究論文(その他学術会議資料等)
  • X-ray diffraction profiles of Si nanowires with trapezoidal cross-sections,Teruaki Takeuchi,Kosuke Tatsumura,Iwao Ohdomari,Takayoshi Shimura,Masao Nagase,Physica B,Vol. 406,No. 13,p. 2559-2564,2011年07月,研究論文(学術雑誌)
  • A novel electroless method for the deposition of single-crystalline platinum nanoparticle films on an organic solid matrix in the presence of gold single crystals,Khaleda Banu,Takayoshi Shimura,New J. Chem,Vol. 35,No. 7,p. 1503-1514,2011年07月,研究論文(学術雑誌)
  • Synchrotron x-ray photoelectron spectroscopy study on thermally grown SiO2/4H-SiC(0001) interface and its correlation with electrical properties,Heiji Watanabe,Takuji Hosoi,Takashi Kirino,Yusuke Kagei,Yusuke Uenishi,Atthawut Chanthaphan,Akitaka Yoshigoe,Yuden Teraoka,Takayoshi Shimura,Appl. Phys. Lett.,Vol. 99,No. 2,2011年07月,研究論文(学術雑誌)
  • Advantage of high-density plasma nitridation for improving thermal stability of ultrathin GeO2 on Ge(100),A. Kasuya,K. Kutsuki,I. Hideshima,T. Hosoi,T. Shimura,H. Watanabe,Technical Digest of 2011 International Meeting for Future of Electron Devices, Kansai,2011年05月,研究論文(その他学術会議資料等)
  • A novel electroless method for the deposition of single-crystalline gold nanocrystals on and inside an organic solid-matrix,Khaleda Banu,Takayoshi Shimura,New J. Chem.,Vol. 35,No. 5,p. 1031-1041,2011年05月,研究論文(学術雑誌)
  • Fundamental Aspects and Interface Engineering of Ge-MOS Devices,Heiji Watanabe,Katsuhiro Kutsuki,Iori Hideshima,Gaku Okamoto,Shoichiro Saito,Tomoya Ono,Takuji Hosoi,Takayoshi Shimura,2011 MRS Spring Meeting Program and Exhibit Guide,2011年04月,研究論文(国際会議プロシーディングス)
  • (Invited) Understanding and Control of Metal-Oxide-Semiconductor Interfaces for Advanced Nanoelectronics,Heiji Watanabe,Takuji Hosoi,Takayoshi Shimura,Kenji Shiraishi,Keisaku Yamada,Abstracts of The 3rd Working Group Meeting of Asia Consortium on Computational Materials Science on "Advances in Nano Device Simulation" (accms WGM3),2011年04月
  • Surface Cleaning and Etching of 4H-SiC(0001) Using High-Density Atmospheric Pressure Hydrogen Plasma,Watanabe, Heiji,Ohmi, Hiromasa,Kakiuchi, Hiroaki,Hosoi, Takuji,Shimura, Takayoshi,Yasutake, Kiyoshi,Journal of Nanoscience and Nanotechnology,Vol. 11,No. 4,p. 2802-2808,2011年04月,研究論文(学術雑誌)
  • Electronic Structure Characterization of La Incorporated Hf-Based High-k Gate Dielectrics by NEXAFS,Yamamoto, Takashi,Ogawa, Singo,Kunisu, Masahiro,Tsuji, Junichi,Kita, Koji,Saeki, Masayuki,Oku, Yudai,Arimura, Hiroaki,Kitano, Naomu,Hosoi, Takuji,Shimura, Takayoshi,Watanabe, Heiji,Journal of Nanoscience and Nanotechnology,Vol. 11,No. 4,p. 2823-2828,2011年04月,研究論文(学術雑誌)
  • Electrical Characteristics of Ge-Based Metal-Insulator-Semiconductor Devices with Ge3N4 Dielectrics Formed by Plasma Nitridation,Okamoto, Gaku,Kutsuki, Katsuhiro,Hosoi, Takuji,Shimura, Takayoshi,Watanabe, Heiji,Journal of Nanoscience and Nanotechnology,Vol. 11,No. 4,p. 2856-2860,2011年04月,研究論文(学術雑誌)
  • Initial Stages of High-Temperature CaF2/Si(001) Epitaxial Growth Studied by Surface X-Ray Diffraction,Suturin,Sergey M,Sokolov,Nikolai S,Banshchikov,Aleksander G,Kyutt, Reginald N,Sakata, Osami,Shimura, Takayoshi,Harada, Jimpei,Tabuchi, Masao,Takeda, Yoshikazu,Journal of Nanoscience and Nanotechnology,Vol. 11,No. 4,p. 2990-2996,2011年04月,研究論文(学術雑誌)
  • Improved Electrocal Properties and Thermal Stability of GeON Gate Dielectrics formed by Plasma Nitridation of Ultrathin Oxides on Ge(100),Heiji Watanabe,Katsuhiro Kutsuki,Iori Hideshima,Gaku Okamoto,Takuji Hosoi,Takayoshi Shimura,Key Engineering Materials,Vol. 470,p. 152-157,2011年02月,研究論文(学術雑誌)
  • Correlation between surface morphology and breakdown characteristics of thermally grown SiO2 dielectrics in 4H-SiC MOS devices,Yusuke Uenishi,Kohei Kozono,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,IMFEDK 2011 - 2011 International Meeting for Future of Electron Devices, Kansai,p. 76-77,2011年,研究論文(国際会議プロシーディングス)
  • High-quality single-crystal SiGe layers on insulator formed by rapid melt growth,S. Ogiwara,Y. Suzuki,C. Yoshimoto,T. Hosoi,T. Shimura,H. Watanabe,IMFEDK 2011 - 2011 International Meeting for Future of Electron Devices, Kansai,p. 70-71,2011年,研究論文(国際会議プロシーディングス)
  • 急速加熱液相エピタキシャル成長法による高Ge濃度SGOI構造の作製,荻原 伸平,鈴木 雄一朗,吉本 千秋,細井 卓治,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第16回研究会),2011年01月,研究論文(その他学術会議資料等)
  • (招待講演)High-k/Metalゲートスタックにおける酸素空孔形成要因と実効仕事関数変調機構,細井 卓治,佐伯 雅之,奥 雄大,北野 尚武,有村 拓晃,大嶽 祐輝,白石 賢二,山田 啓作,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第16回研究会),2011年01月,研究論文(その他学術会議資料等)
  • 極薄Ge熱酸化膜の高密度プラズマ窒化により形成したGeON絶縁膜の熱安定性評価,糟谷 篤志,朽木 克博,秀島 伊織,細井 卓治,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第16回研究会),2011年01月,研究論文(その他学術会議資料等)
  • Characterization of SiGe Layer during Ge Condensation Process by X-ray Diffration Methods,Takayoshi Shimura,Tomoyuki Inoue,Daisuke Shimokawa,Takuji Hosoi,Yasuhiko Imai,Osami Sakata,Shigeru Kimura,Heiji Watanabe,Jpn. J. Appl. Phys.,Vol. 50,No. 1,2011年01月,研究論文(学術雑誌)
  • Impact of Thermally Induced Structural Changes on the Electrical Properties of TiN/HfLaSiO Gate Stacks,Takashi Yamamoto,Shingo Ogawa,Hiroaki Arimura,Masayuki Saeki,Naomu Kitano,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Extended Abstracts of 2011 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF2011),Vol. 50,No. 10,2011年01月,研究論文(学術雑誌)
  • La Induced Passivation of High-k Bulk and Interface Defects in Poly-Si/TiN/HfLaSiO/SiO2 Stacks,Masayuki Saeki,Hiroaki Arimura,Naomu Kitano,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Extended Abstracts of 2011 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF2011),Vol. 50,No. 10,2011年01月,研究論文(学術雑誌)
  • In situ Synchrotron Radiation Photoemission Study of Ge3N4/Ge Structures Formed by Plasma Nitridation,Takuji Hosoi,Katsuhiro Kutsuki,Gaku Okamoto,Akitaka Yoshigoe,Yuden Teraoka,Takayoshi Shimura,Heiji Watanabe,Extended Abstracts of 2011 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF2011),Vol. 50,No. 10,2011年01月,研究論文(学術雑誌)
  • (依頼講演)ゲルマニウムMOSデバイスにおける界面設計と高移動度FETへの応用,渡部平司,朽木克博,糟谷篤志,秀島伊織,斉藤正一朗,小野倫也,細井卓治,志村考功,応用物理学会 北海道支部講演会,2010年12月,研究論文(その他学術会議資料等)
  • Interfacial Design of High-k/Ge Gate Stacks with ZrO2 Dielectrics for Scaled Ge-based MOS devices,Takuji Hosoi,Gaku Okamoto,Iori Hideshima,Atsushi Kasuya,Katsuhiro Kutsuki,James Harries,Akitaka Yoshigoe,Yuden Teraoka,Takayoshi Shimura,Heiji Watanabe,Abstracts, 41st IEEE Semiconductor Interface Specialists Conference,2010年12月,研究論文(国際会議プロシーディングス)
  • Impact of Plasma Nitridation On Electrical properties and Thermal Stability of Ultrathin Thermal GeO2 on Ge(100),Katsuhiro Kutsuki,Atsushi Kasuya,Iori Hideshima,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Abstracts, 41st IEEE Semiconductor Interface Specialists Conference,2010年12月,研究論文(国際会議プロシーディングス)
  • Energy Band Structure of Thermally Grown SiO2/4H-SiC Interfaces and its Modulation Induced by Post-oxidation Treatments,Takashi Kirino,Yusuke Kagei,Akitaka Yoshigoe,Yuden Teraoka,Syuhei Mitani,Yuki Nakano,Takashi Nakamura,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Abstracts, 41st IEEE Semiconductor Interface Specialists Conference,2010年12月,研究論文(国際会議プロシーディングス)
  • Impact of Nitrogen Incorporation into A12O3 Gate Dielectrics on Flatband Voltage Stability in 4H-SiC MIS Devices,T. Hosoi,Y. Kagei,T. Kirino,S. Mitani,Y. Nakano,T. Nakamura,T. Shimura,H. Watanabe,Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology,2010年11月,研究論文(その他学術会議資料等)
  • Excellent Electrical Property of Ge-MIS Devices with ZrO2 High-k Gate Dielectrics,T. Hosoi,G. Okamoto,K. Kutsuki,J. Harries,A. Yoshigoe,Y. Teraoka,T. Shimura,H. Watanabe,Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology,2010年11月,研究論文(その他学術会議資料等)
  • Residual Order and Rate Enhancement of SiGe Thermal Oxidation,T. Shimura,Y. Okamoto,D. Shimokawa,T. Inoue,T. Hosoi,H. Watanabe,Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology,2010年11月,研究論文(その他学術会議資料等)
  • Investigation of Correlation between Thermally Grown SiO2 Thickness Fluctuation and Local Dielectric Breakdown in 4H-SiC MOS Devices,Y. Uenishi,K. Kozono,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology,2010年11月,研究論文(その他学術会議資料等)
  • Modulation on Thermally Grown SiO2/4H-SiC Energy Band Structure Depending on Surface Orientation,T. Kirino,Y. Kagei,A. Yoshigoe,Y. Teraoka,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology,2010年11月,研究論文(その他学術会議資料等)
  • Investigation of High-k Bulk and Interface Defects in Poly-Si/TiN/HfLaSiO/SiO2 Stacks using Charge Pumping Technique,M. Saeki,H. Arimura,N. Kitano,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology,2010年11月,研究論文(その他学術会議資料等)
  • Investigation of Structural Change in TiN/HfLaSiO Gate Stack Induced by High-temperature Annealing,T. Yamamoto,S. Ogawa,H. Arimura,M. Saeki,N. Kitano,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology,2010年11月,研究論文(その他学術会議資料等)
  • (招待講演)金属電極/高誘電率絶縁膜界面の物理を中心としたHigh-k/Metalゲートスタックの実効仕事関数変調機構の理解,細井卓治,佐伯雅之,喜多祐起,奥雄大,有村拓晃,北野尚武,白石賢二,山田啓作,志村考功,渡部平司,信学技報 2010年11月.,一般社団法人電子情報通信学会,Vol. 110,No. 274,p. 23-28,2010年11月,研究論文(その他学術会議資料等)
  • Thermal Robustness and Improved Electrical Properties of Ultrathin Germanium Oxynitride Gate Dielectric,K. Kutuki,I. Hideshima,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Third International Symposium on Atomiscally Controlled Fabrication Technology,Vol. 50,No. 1,2010年11月,研究論文(学術雑誌)
  • Interface Reaction and Rate Enhancement of SiGe Thermal Oxidation,Takayoshi Shimura,Yuki Okamoto,Daisuke Shimokawa,Tomoyuki Inoue,Takuji Hosoi,Heiji Watanabe,ECS Trans,Vol. 33,No. 6,p. 893-899,2010年10月,研究論文(学術雑誌)
  • SiO2/4H-SiC断面構造解析による熱酸化SiO2信頼性低下要因の評価,上西悠介,小園幸平,箕谷周平,中野佑紀,中村 孝,細井卓治,志村考功,渡部平司,SiC及び関連ワイドギャップ半導体研究会 第19回講演会 予稿集,2010年10月,研究論文(その他学術会議資料等)
  • SiO2/4H-SiCエネルギーバンド構造に対する界面特性改善処理の影響,細井卓治,桐野嵩史,Atthawut Chanthaphan,池口大輔,吉越章隆,寺岡有殿,箕谷周平,中野佑紀,中村 孝,志村考功,渡部平司,SiC及び関連ワイドギャップ半導体研究会 第19回講演会 予稿集,2010年10月,研究論文(その他学術会議資料等)
  • Fabrication of Fully Relaxed SiGe Layers with High Ge Concentration on Silicon-on-Insulator Wafers by Rapid Melt Growth,Takayoshi Shimura,Shimpei Ogiwara,Chiaki Yoshimoto,Takuji Hosoi,Heiji Watanabe,Appl. Phys. Express,Vol. 3,No. 10,2010年10月,研究論文(学術雑誌)
  • Energy Band Structure of SiO2/4H-SiC Interfaces and its Modulation Induced by Intrinsic and Extrinsic Interface Charge Transfer,H. Watanabe,T. Kirino,Y. Kagei,J. Harries,A. Yoshigoe,Y. Teraoka,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,Abstract Booklet of the 8th European Conference on Silicon Carbide and Related Materials,Vol. 679-680,p. 386-+,2010年09月,研究論文(国際会議プロシーディングス)
  • Thermal Stability and Electron Irradiation Damage of Ordered Structure in the Thermal Oxide Layer on Si,Takayoshi Shimura,Daisuke Shimokawa,Tomoyuki Inoue,Takuji Hosoi,Heiji Watanabe,Osami Sakata,Masataka Umeno,J. Electrochem. Soc.,Vol. 157,No. 10,p. H977-H981,2010年08月,研究論文(学術雑誌)
  • Investigation of surface and interface morphology of thermally grown SiO2 Dielectrics on 4H-SiC(0001) substrates,Takuji Hosoi,Kohei Kozono,Yusuke Uenishi,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Abstract Booklet of the 8th European Conference on Silicon Carbide and Related Materials,Vol. 679-680,p. 342-+,2010年08月,研究論文(国際会議プロシーディングス)
  • Reduction of Charge Trapping Sites in Al2O3/SiO2 Stacked Gate Dielectrics by Incorporating Nitrogen for Highly Reliable 4H-SiC MIS Devices diodes,Takuji Hosoi,Yusuke Kagei,Takashi Kirino,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takayoshi Shimura,Heiji Watanabe,Abstract Booklet of the 8th European Conference on Silicon Carbide and Related Materials,Vol. 679-680,p. 496-+,2010年08月,研究論文(国際会議プロシーディングス)
  • ゲートファーストHigh-k MIPS構造の実効仕事関数制御に向けた指針,細井卓治,佐伯雅之,奥雄大,有村拓晃,北野尚武,白石賢二,山田啓作,志村考功,渡部平司,応用物理学会シリコンテクノロジー分科会第127回研究集会予稿集,2010年07月,研究論文(その他学術会議資料等)
  • Comprehensive Study and Control of Oxygen Vacancy Induced Effective Work Function Modulation in Gate-First High-k/Metal Inserted Poly-Si Stacks,T. Hosoi,M. Saeki,Y. Oku,H. Arimura,N. Kitano,K. Shiraishi,K. Yamada,T. Shimura,H. Watanabe,2010 Symposium on VLSI Technology Digest of Technical Papers,p. 179-+,2010年06月,研究論文(国際会議プロシーディングス)
  • Interface Engineering of ZrO2/Ge Gate Stacks by Post-deposition Annealing and Al2O3 Capping Layers,H. Watanabe,G. Okamoto,K. Kutsuki,J. Harries,A. Yoshigoe,Y. Teraoka,T. Hosoi,T. Shimura,Extended Abstracts of International Symposium on Technology Evolution for Silicon Nano-Electronics,2010年06月,研究論文(その他学術会議資料等)
  • Superior electrical properties and thermal stability of ultrathin GeON dielectrics formed by plasma nitridation of thermal oxides on Ge(100),K. Kutsuki,I. Hideshima,G. Okamoto,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of International Symposium on Technology Evolution for Silicon Nano-Electronics,2010年06月,研究論文(その他学術会議資料等)
  • Synchrotron X-ray Diffraction Study of Lattice Inclination and Strain in Strained Si Wafers,D. Shimokawa,T. Inoue,A. Ogura,M. Umeno,T. Hosoi,T. Shimura,H. Watanabe,Abstract Notebook of International Conference on Core Research and Engineering Science of Advanced Materials,2010年06月,研究論文(その他学術会議資料等)
  • Conductive AFM study on local dielectric degradation of thermal oxides in 4H-SiC MOS devices,Y. Uenishi,K. Kozono,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Abstract Notebook of International Conference on Core Research and Engineering Science of Advanced Materials,2010年06月,研究論文(その他学術会議資料等)
  • Control of Thermally Grown GeO2/Ge MOS Characteristics - Effects of Vanuum Annealing, Capping Layers and Electrode Material -,I. Hideshima,K. Kutsuki,G. Okamoto,T. Hosoi,T. Shimura,H. Watanabe,Abstract Notebook of International Conference on Core Research and Engineering Science of Advanced Materials,2010年06月,研究論文(その他学術会議資料等)
  • Investigation of the Physical Origin of the Improved Electrical Properties of GeO2 Dielectric by Vacuum Annealing.,Shingo Ogawa,Takashi Yamamoto,Gaku Okamoto,Katsuhiro Kutsuki,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Program and Exhibit Guide of 2010 MRS spring meeting,2010年04月,研究論文(国際会議プロシーディングス)
  • Fabrication of advanced La-incorporated Hf-silicate gate dielectrics using physical-vapor-deposition-based in situ method and its effective work function modulation of metal/high-k stacks,Hiroaki Arimura,Yudai Oku,Masayuki Saeki,Naomu Kitano,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,J. Appl. Phys.,Vol. 107,No. 3,2010年02月,研究論文(学術雑誌)
  • Improved electrical properties of SiC-MOS interfaces by thermal oxidation of plasma nitrided 4H-SiC(0001) surfaces,Yusuke Kagei,Takashi Kirino,Yuu Watanabe,Shuhei Mitani,Yuki Nakano,Takashi Nakamura,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Materials Science Forum,Trans Tech Publications Ltd,Vol. 645-648,p. 507-511,2010年,研究論文(国際会議プロシーディングス)
  • High-quality GeON gate dielectrics formed by plasma nitridation of ultrathin thermal oxides on Ge(100),Heiji Watanabe,Katsuhiro Kutsuki,Iori Hideshima,Gaku Okamoto,Takuji Hosoi,Takayoshi Shimura,ICSICT-2010 - 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology, Proceedings,p. 867-870,2010年,研究論文(国際会議プロシーディングス)
  • 大気曝露に起因したGe-MOSキャパシタの電気特性劣化とその改善手法の提案,秀島 伊織,岡本 学,朽木 克博,細井 卓治,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第15回研究会),2010年01月,研究論文(その他学術会議資料等)
  • ZrO2ゲート絶縁膜を用いたGe MOSデバイスの界面設計,細井 卓治,岡本 学,朽木 克博,景井 悠介,James Harries,吉越 章隆,寺岡 有殿,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第15回研究会),2010年01月,研究論文(その他学術会議資料等)
  • 炭素不純物に起因したTiN/HfSiONゲートスタックの電気特性劣化現象,佐伯 雅之,有村 拓晃,奥 雄大,北野 尚武,小須田 求,細井 卓治,志村 考功,渡部 平司,応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第15回研究会),2010年01月,研究論文(その他学術会議資料等)
  • 極薄Ge熱酸化膜の高密度プラズマ窒化により形成したGeON絶縁膜の評価,朽木克博,秀島伊織,岡本学,細井卓治,志村考功,渡部平司,応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第15回研究会),2010年01月,研究論文(その他学術会議資料等)
  • 4H-SiC(0001)基板表面のプラズマ窒化と高温水素ガスアニール処理によるSiC-MOS界面特性向上,景井悠介,桐野嵩史,小園幸平,箕谷周平,中野佑紀,中村 孝,吉越章隆,寺岡有殿,細井卓治,志村考功,渡部平司,SiC及び関連ワイドギャップ半導体研究会 第18回講演会 予稿集,2009年12月,研究論文(その他学術会議資料等)
  • 導電性原子間力顕微鏡による4H-SiC(0001)熱酸化膜の局所絶縁劣化現象の観察,小園幸平,景井悠介,桐野嵩史,箕谷周平,中野佑紀,中村 孝,細井卓治,志村考功,渡部平司,SiC及び関連ワイドギャップ半導体研究会 第18回講演会 予稿集,2009年12月,研究論文(その他学術会議資料等)
  • 放射光XPSによる熱酸化SiO2/4H-SiC界面のエネルギーバンド構造分析,桐野嵩史,景井悠介,岡本 学,James Harries,吉越章隆,寺岡有殿,箕谷周平,中野佑紀,中村 孝,細井卓治,志村考功,渡部平司,SiC及び関連ワイドギャップ半導体研究会 第18回講演会 予稿集,2009年12月,研究論文(その他学術会議資料等)
  • プラズマ窒化技術とAlON/SiO2積層絶縁膜によるSiC-MOSデバイスの高機能化,渡部平司,景井悠介,小園幸平,桐野崇史,渡邊 優,箕谷周平,中野佑紀,中村 孝,吉越章隆,寺岡有殿,細井卓治,志村考功,SiC及び関連ワイドギャップ半導体研究会 第18回講演会 予稿集,2009年12月,研究論文(その他学術会議資料等)
  • New Insights into Flatband Voltage Shift and Minority Carrier Generation in GeO2/Ge MOS devices,Takuji Hosoi,Marina Saito,Iori Hideshima,Gaku Okamoto,Katsuhiro Kutsuki,Shingo Ogawa,Takashi Yamamoto,Takayoshi Shimura,Heiji Watanabe,Abstracts, 40th IEEE Semiconductor Interface Specialists Conference,2009年12月,研究論文(国際会議プロシーディングス)
  • Impact of Plasma Nitridation on Physical and Electrical Properties of Ultrathin Thermal Oxides on Ge(100).,Katsuhiro Kutsuki,Gaku Okamoto,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Program and Exhibit Guide of 2009 MRS fall meeting,2009年12月,研究論文(国際会議プロシーディングス)
  • Fabrication of Single-Crystal Local Germanium-on-Insulator Structures by Lateral Liquid-Phase Epitaxy,Tatsuya Hashimoto,Chiaki Yoshimoto,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Program and Exhibit Guide of 2009 MRS fall meeting,2009年12月,研究論文(国際会議プロシーディングス)
  • Initial Stages of High-temperature CaF2 Epitaxial Growth On Si(001): Surface X-ray Diffraction Study,S. M. Suturin,T. Shimura,N. S. Sokolov,A. G. Banshchikov,R. N. Kyutt,O. Sakata,J. Harada,M. Tabuchi,Y. Takeda,Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology,2009年11月
  • Electrical Characteristics of Ge-based MIS Devices with Ge3N4 Dielectrics Formed by Plasma,G. Okamoto,K. Kutsuki,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology,2009年11月,研究論文(その他学術会議資料等)
  • Impact of gate electrode deposition process on effective work function of poly-Si/TiNHfSiO gate stacks,Y. Oku,H. Arimura,M. Saeki,N. Kitano,M. Kosuda,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology,2009年11月,研究論文(その他学術会議資料等)
  • Structural and electrical properties of GeON dielectrics formed by high-density plasma nitridation of ultrathin thermal GeO2,K. Kutsuki,G. Okamoto,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology,2009年11月,研究論文(その他学術会議資料等)
  • Observation of local dielectric degradation of thermal oxides on 4H-SiC using conductive AFM,K. Kozono,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology,2009年11月,研究論文(その他学術会議資料等)
  • Impact of Carbon Impurity on Electrical Properties of TiN/HfSiON/SiO2,M. Saeki,H. Arimura,Y. Oku,N. Kitano,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology,2009年11月,研究論文(その他学術会議資料等)
  • Thermal Instability of Effective Work Function of Metal/HfLaSiO Gate Stacks,H. Arimura,Y. Oku,M. Saeki,N. Kitano,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology,2009年11月,研究論文(その他学術会議資料等)
  • Selective Adsorption of Ti-binding Ferritin on Thin Ti Film with Various Oxidation Treatment,T. Hashimoto,K. Gamo,M. Fukuta,B. Zheng,N. Okamoto,I. Yamashita,Y. Uraoka,N. Zettsu,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology,2009年11月,研究論文(その他学術会議資料等)
  • Advanced Gate Stack Technology for SiC-MOS Power Devices,H. Watanabe,Y. Kagei,K. Kozono,T. Kirino,Y. Watanabe,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology,2009年11月,研究論文(その他学術会議資料等)
  • Fundamental understanding of thermally grown GeO2/Ge MOS characteristics,T. Hosoi,I. Hideshima,G. Okamoto,K. Kutsuki,T. Shimura,H. Watanabe,Extended Abstracts of Second International Symposium on Atomiscally Controlled Fabrication Technology,2009年11月,研究論文(その他学術会議資料等)
  • X-ray diffraction study of strain distribution in oxidized Si nanowires,Teruaki Takeuchi,Kosuke Tatsumura,Takayoshi Shimura,Iwao Ohdomari,Vol. 106,No. 7,2009年10月,研究論文(学術雑誌)
  • Lateral Polarity Control in GaN Based on Selective Growth Procedure Using Carbon Mask Layers,Hisashi Matsumura,Yasuo Kanematsu,Takayoshi Shimura,Takayuki Tamaki,Yasuyuki Ozeki,Kazuyoshi Itoh,Masatomo Sumiya,Takayuki Nakano,Shunro Fuke,APPLIED PHYSICS EXPRESS,JAPAN SOC APPLIED PHYSICS,Vol. 2,No. 10,2009年10月,研究論文(学術雑誌)
  • Improved electrical properties of SiC-MOS interfaces by thermal oxidation of plasma nitrided 4H-SiC(0001) surfaces,Y. Kagei,T. Kirino,Y. Watanabe,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Technical Digest of International Conference on Silicon Carbide and Related Materials 2009,Vol. 645-648,p. 507-+,2009年10月,研究論文(国際会議プロシーディングス)
  • Direct Observation of Dielectric Breakdown Spot in Thermal Oxides on 4H-SiC(0001) Using Conductive Atomic Force Microscopy,K. Kozono,Y. Kagei,T. Kirino,S. Mitani,Y. Nakano,Y. Nakamura,T. Hosoi,T. Shimura,H. Watanabe,Technical Digest of International Conference on Silicon Carbide and Related Materials 2009,Vol. 645-648,p. 821-+,2009年10月,研究論文(国際会議プロシーディングス)
  • Observation of Two-Dimensional Distribution of Lattoce Inclination and Strain in Strained Si Wafers by Synchrotron X-Ray Topography,Takayoshi Shimura,Tomoyuki Inoue,Daisuke Shimokawa,Takuji Hosoi,Heiji Watanabe,Atsushi Ogura,Masataka Umeno,DRIP XIII Conference,2009年09月
  • Significant Improvement in GeO2/Ge MOS Characteristics by in Situ Vacuum Annealing,T. Hosoi,G. Okamoto,K. Kutsuki,T. Shimura,H. Watanabe,Program & Abstracts of 5th Handai Nanoscience and Nanotechnology International Symposium,2009年09月,研究論文(その他学術会議資料等)
  • Experimental Verification of Interface Dipole Formation in Metal/high-k Gate Stacks,T. Hosoi,Y. Kita,T. Shimura,K. Shiraishi,Y. Nara,K. Yamada,H. Watanabe,Program & Abstracts of 5th Handai Nanoscience and Nanotechnology International Symposium,2009年09月,研究論文(その他学術会議資料等)
  • Improved Electrical Properties and Effective Work Function Control of Metal/HfLaSiO/SiO2/Si Gate Stacks Fabricated by PVD-Based In-situ Process,M. Saeki,H. Arimura,Y. Oku,N. Kitano,T. Hosoi,T. Shimura,H. Watanabe,Program & Abstracts of 5th Handai Nanoscience and Nanotechnology International Symposium,2009年09月,研究論文(その他学術会議資料等)
  • Ge3N4 Gate Dielectrics Fabricated by High-Density Plasma Nitridation of Ge(100) Surfaces,K. Kutsuki,G. Okamoto,T. Hosoi,T. Shimura,H. Watanabe,Program & Abstracts of 5th Handai Nanoscience and Nanotechnology International Symposium,2009年09月,研究論文(その他学術会議資料等)
  • Improved Physical and Electrical Properties of Ultrathin Germanium Oxides by High-Density Plasma Nitridation,K. Kutsuki,G. Okamoto,T. Hosoi,T. Shimura,H. Watanabe,Program & Abstracts of 5th Handai Nanoscience and Nanotechnology International Symposium,2009年09月,研究論文(その他学術会議資料等)
  • Fabrication of Ge Nano-Wires on Insulators Using Lateral Liquid-Phase Epitaxy,C. Yoshimoto,T. Hashimoto,T. Hosoi,T. Shimura,H. Watanabe,Program & Abstracts of 5th Handai Nanoscience and Nanotechnology International Symposium,2009年09月,研究論文(その他学術会議資料等)
  • Germanium oxynitride gate dielectrics formed by plasma nitridation of ultrathin thermal oxides on Ge(100),Katsuhiro Kutsuki,Gaku Okamoto,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Appl. Phys. Lett.,Vol. 95,No. 2,2009年07月,研究論文(学術雑誌)
  • GeO2/Ge界面形成の物理と電気特性改善技術(依頼講演),渡部平司,齊藤真里奈,齊藤正一朗,岡本学,朽木克博,細井卓治,小野倫也,志村考功,電子情報通信学会 シリコン材料・デバイス(SDM)研究会誌,2009年06月,研究論文(その他学術会議資料等)
  • Mechanism of carrier mobility degradation induced by crystallization of HfO2 gate dielectrics,Takashi Ando,Tomoyuki Hirano,Shinichi Yoshida,Kaori Tai,Shinpei Yamaguchi,Satoshi Toyoda,Hiroshi Kumihashira,Takayoshi Shimura,Hayato Iwamoto,Masaharu Oshima,Shingo Kadomura,Heiji Watanabe,Appl. Phys. Express,Vol. 2,No. 7,2009年06月,研究論文(学術雑誌)
  • Synchrotron X-ray Diffraction Studies of Thermal Oxidation of Si and SiGe (Invited),Takayoshi Shimura,Yuki Okamoto,Shimokawa Daisuke,Tomoyuki Inoue,Takuji Hosoi,Heiji Watanabe,Abstracts of 215th ECS Meeging,Vol. 19,No. 2,p. 479-+,2009年05月,研究論文(国際会議プロシーディングス)
  • Origin of flatband voltage shift and unusual minority carrier generation in thermally grown GeO2/Ge metal-oxide-semiconductor devices,Takuji Hosoi,Katsuhiro Kutsuki,Gaku Okamoto,Marina Saito,Takayoshi Shimura,Heiji Watanabe,Appl. Phys. Lett.,Vol. 94,No. 20,2009年05月,研究論文(学術雑誌)
  • Fabrication of Local Ge-on-Insulator Structures by Lateral Liquid-Phase Epitaxy: Effect of Controlling Interface Energy between Ge and Insulators on Lateral Epitaxial Growth,Tatsuya Hashimoto,Chiaki Yoshimoto,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Appl. Phys. Express,Vol. 2,No. 6,2009年05月,研究論文(学術雑誌)
  • Systematic study on work-function-shift in metal/Hf-based high-k gate stacks,Yuki Kita,Shinichi Yoshida,Takuji Hosoi,Takayoshi Shimura,Kenji Shiraishi,Yasuo Nara,Keisaku Yamada,Heiji Watanabe,APPLIED PHYSICS LETTERS,AMER INST PHYSICS,Vol. 94,No. 12,2009年03月,研究論文(学術雑誌)
  • Nitrogen Plasma Cleaning of Ge(100) Surfaces,Katsuhiro Kutsuki,Gaku Okamoto,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Applied Surface Science,Vol. 255,No. 12,p. 6335-6337,2009年03月,研究論文(学術雑誌)
  • Investigation of Flatband Voltage Instability in Metal/High-k Gate Stacks,Takuji Hosoi,Yuki Kita,Takayoshi Shimura,Heiji Watanabe,Kenji Shiraishi,Yasuo Nara,Keisaku Yamada,Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-,2009年02月,研究論文(その他学術会議資料等)
  • Synchrotron X-ray Diffraction Studies of Thermal Oxide of Strained SiGe on Si,Daisuke Shimokawa,Yuki Okamoto,Tomoyuki Inoue,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-,2009年02月,研究論文(その他学術会議資料等)
  • Lateral Liquid-Phase Epitaxy of Single-Crystal Germanium Wires on La2O3 Dielectrics,T. Hashimoto,C. Yoshimoto,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-,2009年02月,研究論文(その他学術会議資料等)
  • 4H-SiC MIS Devices with AION/SiO2/SiC Gate Structures,Y. Kagei,M. Harada,Y. Watanabe,T. Hosoi,T. Shimura,S. Mitani,Y. Nakano,T. Nakamura,H. Watanabe,Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-,2009年02月,研究論文(その他学術会議資料等)
  • Synchrotron Microbeam X-ray Diffraction Analysis of Strain Relaxation Process during Ge Condensation,Tomoyuku Inoue,Daisuke Shimokawa,Takuji Hosoi,Takayoshi Shimura,Yasuhiko Imai,Osami Sakata,Shigeru Kimura,Heiji Watanabe,Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-,2009年02月,研究論文(その他学術会議資料等)
  • Excellent Electrical Property and Flatband Voltage Controllability of HfLaSiO High-k Gate Dielectrics Fabricated by In-situ Process,H. Arimura,Y. Oku,N. Kitano,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-,2009年02月,研究論文(その他学術会議資料等)
  • Advantages of Fluorine Ion Implantation for Improving Ge3N4/Ge Interfaces,Katsuhiro Kutsuki,Gaku Okamoto,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-,2009年02月,研究論文(その他学術会議資料等)
  • Fabrication of Advanced Metal/High-k Gate Stacks by Atomically Controlled in-situ PVD-based Method,H. Watanabe,H. Arimura,N. Kitano,Y. Oku,M. Saeki,Y. Naitou,N. Yamaguchi,M. Kosuda,T. Hosoi,T. Shimura,Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-,2009年02月,研究論文(その他学術会議資料等)
  • Ge-MIS Devices with Ge3N4 Gate Dielectrics Fabricated by High-Density Plasma Nitridation,Takuji Hosoi,Katsuhiro Kutsuki,Gaku Okamoto,Marina Saito,Takayoshi Shimura,Heiji Watanabe,Extended Abstracts of First International Symposium on Atomiscally Controlled Fabrication Technology - Surface and Thin Film Processing-,2009年02月,研究論文(その他学術会議資料等)
  • 高密度プラズマ窒化により形成したGe3N4膜の電気特性評価,朽木克博,岡本学,細井卓治,志村考功,渡部平司,応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第14回研究会),2009年01月,研究論文(その他学術会議資料等)
  • Si基板上に直接成長させた歪みSiGe層の熱酸化膜中の残留秩序構造,下川大輔,岡本佑樹,井上智之,細井卓治,志村考功,渡部平司,応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第14回研究会),2009年01月,研究論文(その他学術会議資料等)
  • 局所横方向液相エピタキシャル成長による絶縁膜上Geワイヤの作製,橋元達也,吉本千秋,細井卓治,志村考功,渡部平司,応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第14回研究会),2009年01月,研究論文(その他学術会議資料等)
  • 真空一貫プロセスによるLa添加HfSiO高誘電率絶縁膜の電気特性向上およびフラットバンド電圧制御,有村拓晃,奥雄大,佐伯雅之,北野尚武,細井卓治,志村考功,渡部平司,応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第14回研究会),2009年01月,研究論文(その他学術会議資料等)
  • 窒化アルミナ絶縁層を有したHigh-k/Geゲートスタックの作製と特性評価,岡本学,朽木克博,細井卓治,志村考功,渡部平司,応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第14回研究会),2009年01月,研究論文(その他学術会議資料等)
  • Evaluation of super-critical thickness strained-Si on insulator (sc-SSOI) substrate,A. Ogura,T. Yoshida,D. Kosemura,Y. Kakemura,M. Takei,H. Saito,T. Shimura,T. Koganesawa,I. Hirosawa,SOLID-STATE ELECTRONICS,PERGAMON-ELSEVIER SCIENCE LTD,Vol. 52,No. 12,p. 1845-1848,2008年12月,研究論文(学術雑誌)
  • Formation of Advanced HfLaSiO/SiO2 Gate Dielectrics Utilizing PVD-based in-situ Fabrication Method,T. Hosoi,Y. Oku,H. Arimura,M. Saeki,N. Kitano,T. Shimura,H. Watanabe,Abstracts, 39th IEEE Semiconductor Interface Specialists Conference,2008年12月,研究論文(国際会議プロシーディングス)
  • Improved Electrical Properties of Ge3N4/Ge Interfaces by Fluorine Ion Implantation,K. Kutsuki,G. Okamoto,T. Hosoi,T. Shimura,H. Watanabe,Abstracts, 39th IEEE Semiconductor Interface Specialists Conference,2008年12月,研究論文(国際会議プロシーディングス)
  • AION/SiO2積層ゲート絶縁膜を用いた 4H-SiC MIS デバイスの作製と評価,細井卓治,景井悠介,原田 真,渡邊 優,志村考功,箕谷周平,中野佑紀,中村 孝,渡部平司,SiC及び関連ワイドギャップ半導体研究会 第17回講演会 予稿集,2008年12月,研究論文(その他学術会議資料等)
  • 窒素プラズマ照射および水素ガスアニールによるSiO2/SiC界面欠陥終端化とその熱劣化過程の評価,渡邊 優,景井悠介,桐野崇史,箕谷周平,中野佑紀,中村 孝,細井卓治,志村考功,渡部平司,SiC及び関連ワイドギャップ半導体研究会 第17回講演会 予稿集,2008年12月,研究論文(その他学術会議資料等)
  • プラズマ窒化4H-SiC表面の熱酸化によるSiO2/SiC界面の特性向上,景井悠介,渡邊優,原田真,細井卓治,志村考功,渡部平司,SiC及び関連ワイドギャップ半導体研究会 第17回講演会 予稿集,2008年12月,研究論文(その他学術会議資料等)
  • Characterization of strained Si wafers by X-ray diffraction techniques,T. Shimura,K. Kawamura,M. Asakawa,H. Watanabe,K. Yasutake,A. Ogura,K. Fukuda,O. Sakata,S. Kimura,H. Edo,S. Iida,M. Umeno,Jounal of Materials Science: Materials in Electronics,Vol. 19,p. S189-S193,2008年12月,研究論文(学術雑誌)
  • Investigation of Structural Defects in Strained Si Wafers by Synchrotron X-ray Topography,Takayoshi Shimura,Tomoyuki Inoue,Takuji Hosoi,Atsushi Ogura,Satoshi Iida,Masataka Umeno,Heiji Watanabe,Abstracts of the 5th International Symposium on Advanced Science and Technology of Silicon Materials,2008年11月,研究論文(国際会議プロシーディングス)
  • Electrical Properties of Ge3N4/Ge Gate Stacks Fabricated Using High-Density Plasma Nitridation,G. Okamoto,K. Kutsuki,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of 2008 International Workshop on Dielectric Thin Films for Future ULSI Devices Science and Technology,2008年11月,研究論文(国際会議プロシーディングス)
  • Residual Order in Thermal Oxide of Fully Strained SiGe Alloy on Si,T. Shimura,Y. Okamoto,T. Inoue,T. Hosoi,H. Watanabe,Extended Abstracts of 2008 International Workshop on Dielectric Thin Films for Future ULSI Devices Science and Technology,Vol. 81,No. 3,2008年11月,研究論文(国際会議プロシーディングス)
  • Dielectric and Interface Properties of TiO2/HfSiO/SiO2 Layered Structures Fabricated by in situ PVD Method,H. Arimura,Y. Naitou,N. Kitano,Y. Oku,N. Yamaguchi,M. Kosuda,T. Hosoi,T. Shimura,H. Watanabe,Abstracts of 214th ECS Meeging,Vol. 16,No. 5,p. 121-+,2008年10月,研究論文(国際会議プロシーディングス)
  • Observation of Crystalline Imperfections in Supercritical Thickness Strained Silicon on Insulator Wafers by Synchrotron X-ray Topography,T. Shimura,T. Inoue,Y. Okamoto,T. Hosoi,H. Edo,S. Iida,A. Ogura,H. Watanabe,Abstracts of 214th ECS Meeging,Vol. 16,No. 10,p. 539-+,2008年10月,研究論文(国際会議プロシーディングス)
  • Characteristics of in-situ phosphorus-doped silicon selective epitaxial growth at atmospheric pressure,Tetsuya Ikuta,Shigeru Fujita,Hayato Iwamoto,Shingo Kadomura,Takayoshi Shimura,Heiji Watanabe,Kiyoshi Yasutake,JOURNAL OF CRYSTAL GROWTH,ELSEVIER SCIENCE BV,Vol. 310,No. 21,p. 4507-4510,2008年10月,研究論文(学術雑誌)
  • 真空一貫原子制御PVDプロセスによるTiO2/HfSiO/SiO2積層構造 High-k絶縁膜の作製と電気特性評価,渡部平司,有村拓晃,奥 雄大,細井卓治,志村考功,北野尚武,内藤裕一,山口述夫,小須田求,電気学会研究会資料-電子材料研究会EFM-08-24~34,2008年09月,研究論文(その他学術会議資料等)
  • Fabrication of Advanced TiO2/HfSiO/SiO2 Layered Higher-k Dielectrics by Atomically Controlled In-situ PVD-Based Method,H. Wanatabe,H. Arimura,N. Kitano,Y. Naitou,Y. Oku,N. Yamaguchi,M. Kosuda,T. Hosoi,T. Shimura,Abstracts of Fourth International WorkShop on New Group Ⅳ Semiconductor Nanoelectronics,2008年09月
  • Characterization of Strain Relaxation Process during Ge Condensation by Synchrotron Microbeam X-ray Diffraction,T. Inoue,D. Shimokawa,T. Hosoi,T. Shimura,Y. Imai,O. Sakata,S. Kimura,H. Wanatabe,Extended Abstracts of the 2008 International Conference on SOLID STATE DEVICES AND MATERIALS,2008年09月,研究論文(国際会議プロシーディングス)
  • AlON/SiO2 Stacked Gate Dielectrics for 4H-SiC MIS Devices,T. Hosoi,M. Harada,Y. Kagei,Y. Watanabe,T. Shimura,S. Mitani,Y. Nakano,T. Nakamura,H. Watanabe,Abstract of 7th European Conference on Silicon Carbide and Related Materials, Barcelona,Spain, September 7-11, 2008,Vol. 615-617,p. 541-544,2008年09月,研究論文(国際会議プロシーディングス)
  • Impact of a Treatment Combining Nitrogen Plasma Exposure and Forming Gas Annealing on Defect Passivation of SiO2/SiC Interfaces,H. Watanabe,Y. Watanabe,M. Harada,Y. Kagei,T. Kirino,T. Hosoi,T. Shimura,S. Mitani,N. Nakano,T. Nakamura,Abstract of 7th European Conference on Silicon Carbide and Related Materials, Barcelona,Spain, September 7-11, 2008,Vol. 615-617,p. 525-528,2008年09月,研究論文(国際会議プロシーディングス)
  • Surface X-ray diffraction studies of CaF2(110)/Si(001) interface formation,T. Shimura,S. M. Suturin,N. S. Sokolov,A. G. Banshchikov,R. N. Kyutt,O. Sakata,J. Harada,M. Tabuchi,Y. Takeda,Acta Cryst. A,Vol. 64,p. C556-C556,2008年08月
  • Origins of interface dipoles at p-metal/Hf-based high-k gate stacks,H. Watanabe,T. Hosoi,K. Kita,T. Shimura,K. Shiraishi,Y. Nara,K. Yamada,Abstracts of International Conference on Quantum Simulators and Design 2008,2008年06月,研究論文(その他学術会議資料等)
  • Formation of Polycrystalline-Si Thin Films Using Nanocrystalline Ge Nuclei,Chiaki Yoshimoto,Hiromasa Ohmi,Takayoshi Shimura,Hiroaki Kakiuchi,Heiji Watanabe,Kiyoshi Yasutake,Abstracts of International Meeting for Future of Electron Devices, Kansai 2008,2008年05月
  • Improvement of thermally grown SiO2/SiC interfaces by plasma nitridation and post-metalization annealing,Y. Kagei,Y. Watanabe,M. Harada,T. Hosoi,T. Shimura,H. Watanabe,Abstracts of International Meeting for Future of Electron Devices, Kansai 2008,2008年05月,研究論文(その他学術会議資料等)
  • Excellent Electrical Properties of TiO2/HfSiO/SiO2 Layered Higher-k Gate Dielectrics with Sub-1 nm Equivalent Oxide Thickness,H. Arimura,N. Kitano,Y. Naitou,Y. Oku,T. Minami,M. Kosuda,T. Hosoi,T. Shimura,H. Watanabe,Appl. Phys. Lett.,Vol. 92,No. 21,2008年05月,研究論文(学術雑誌)
  • Ge微結晶核を用いた多結晶Si薄膜形成,吉本千秋,大参宏昌,志村考功,垣内弘章,渡部平司,安武潔,電子情報通信学会技術研究報告,2008年04月
  • Investigation of In-situ Boron-Doped Si Selective Epitaxial Growth by Comparison with Arsenic Doping,T. Ikuta,S. Fujita,H. Iwamoto,S. Kadomura,T. Shimura,H. Watanabe,K. Yasutake,Jpn. J. Appl. Phys.,Vol. 47,No. 4,p. 2452-2455,2008年04月,研究論文(学術雑誌)
  • Characterization of Strained Si Wafers by Synchrotron X-Ray Topography,Takayoshi SHIMURA,Kohta KAWAMURA,Masahiro ASAKAWA,Heiji WATANABE,Kiyoshi YASUTAKE,Atsushi OGURA,Photon Factory Activity Report 2006,2008年02月,研究論文(大学,研究機関等紀要)
  • X線トポグラフィ研究会現状報告,飯田 敏,志村考功,梶原堅太郎,SPring-8利用者情報,2008年01月
  • 放射光X線回折法を用いた歪みSiウェーハの評価,井上智之,岡本佑樹,小椋厚志,江戸太樹,飯田敏,福田一徳,坂田修身,木村滋,梅野正隆,志村考功,安武潔,渡部平司,Extended Abstracts of the 13th Workshop on Gate Stack Technology and Physics,2008年01月
  • Charge trapping properties in TiO2/HfSiO/SiO2 gate stacks probed by scanning capacitance microscopy,Y.Naitou,H. Arimura,N. Kitano,S. Horie,T. Minami,M. Kosuda,H. Ogiso,T. Hosoi,T. Shimura,H. Watanabe,Appl.Phys. Lett.,Vol. 92,No. 1,2008年01月,研究論文(学術雑誌)
  • Metal/High-k 界面状態に依存した実効仕事関数変調機構の理解,景井悠介,喜多祐起,細井卓治,志村考功,渡部平司,白石賢二,門島勝,奈良安雄,山田啓作,Extended Abstracts of the 13th Workshop on Gate Stack Technology and Physics,2008年01月,研究論文(その他学術会議資料等)
  • 真空一貫PVD成膜を用いて形成した TiN/HfSiON p-MISFETsの特性評価,北野尚武,有村拓晃,堀江伸哉,川原孝昭,坂下真介,西田征男,由上二郎,南卓士,小須田求,細井卓治,志村考功,渡辺平司,Extended Abstracts of the 13th Workshop on Gate Stack Technology and Physics,2008年01月,研究論文(その他学術会議資料等)
  • プラズマ窒化により形成したGe3N4膜の耐熱性おより耐湿性の評価,朽木克博,岡本学,細井卓治,志村考功,渡部平司,Extended Abstracts of the 13th Workshop on Gate Stack Technology and Physics,2008年01月,研究論文(その他学術会議資料等)
  • TiO2/HfSiO/SiO2 積層構造によるSub-1nm EOT 高誘導率 ゲー絶縁膜の実現,有村拓晃,堀江伸哉,奥雄大,南卓士,北野尚武,小須田求,細井卓治,志村考功,渡部平司,Extended Abstracts of the 13th Workshop on Gate Stack Technology and Physics,2008年01月,研究論文(その他学術会議資料等)
  • Application of synchrotron X-ray diffraction methods to gate stacks of advanced MOS Devices,T. Shimura,T. Inoue,Y. Okamoto,T. Hosoi,A. Ogura,O. Sakata,S. Kimura,H. Edo,S. Iida,H. Watanabe,ECS Transactions,Vol. 13,No. 2,p. 75-82,2008年,研究論文(国際会議プロシーディングス)
  • Fundamental Aspects of Effective Work Function Instability of Metal/Hf-based High-k Gate Stacks,Heiji Watanabe,Shinichi Yoshida,Yuki Kita,Takuji Hosoi,Takayoshi Shimura,Kenji Shiraishi,Yasuo Nara,Keisaku Yamada,PHYSICS AND TECHNOLOGY OF HIGH-K GATE DIELECTRICS 6,ELECTROCHEMICAL SOC INC,Vol. 16,No. 5,p. 27-+,2008年,研究論文(国際会議プロシーディングス)
  • In situ Arsenic-Droped SiC Selective Epitaxial Growth under Atmospheric Pressure,T. Ikuta,S. Fujita,H. Iwamoto,S. Kadomura,T. Shimura,H. Watanabe,K. Yasutake,Appl.Phys. Lett.,Vol. 92,No. 4,2008年01月,研究論文(学術雑誌)
  • A Comprehensive Study on Effective Work Function Modulation of Metal/High-k Gate Stacks,T. Hosoi,Y. Kita,Y.Kagei,T.Shimura,H. Watanabe,K. Shiraishi,Y. Nara,K. Yamada,Abstracts, 38th IEEE Semiconductor Interface Specialists Conference,2007年12月,研究論文(国際会議プロシーディングス)
  • Thermal and Humidity Stability of Ge3N4 Thin Layers Fabricated by High-Density Plasma Nitridation,K. Kutsuki,G. Okamoto,Y. Hosoi,A. Yoshigoe,Y. Tedaoka,T. Shimura,H. Watanabe,Techinical Program of 2007 International Semiconductor Device Research Symposium,p. 68-+,2007年12月,研究論文(国際会議プロシーディングス)
  • Enhanced Performance of Gate-First p-Channel Metal-Insulator-Srmiconductor Field-Effect Transistors with Polycrystalline Silicon/TiN/HfSiON Stacks Fabricated by Physical Vapor Deposition Based In situ Method,N. Kitano,S. Horie,H. Arimura,T. Kawahara,S. Sakashita,Y. Nishida,J. Yugami,T. Minami,M. Kosuda,T. Hosoi,T. Shimura,H. Watanabe,Jpn.J.Appl.Phys. 46 (2007) L1111-L1113.,Vol. 46,No. 45-49,p. L1111-L1113,2007年11月,研究論文(学術雑誌)
  • Structural Optimization of HfTiSiO High-k Gate Dielectrics by Utilizing In-Situ PVD-Based Fabrication Method,H. Arimura,S. Horie,T. Minami,N. Kitano,M. Kosuda,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts & ProgramFifth International Symposium on Control of Semiconductor Interfaces - for Next Generation ULSI Process Integrations -,Vol. 254,No. 19,p. 6119-6122,2007年11月,研究論文(国際会議プロシーディングス)
  • Enhanced Electrical Properties of TiN/HfSiON Gate Stacks by Using the PVD-based In-situ Fabrication Method,N. Kitano,H. Arimura,S. Horie,T. Minami,M. Kosuda,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007,2007年10月,研究論文(その他学術会議資料等)
  • Interface Properties of HfTiSiO Gate Dielectrics Formed by In-Situ PVD-Based Fabrication Method,H. Arimura,S. Horie,T. Minami,N. Kitano,M. Kosuda,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007,2007年10月,研究論文(その他学術会議資料等)
  • Systematic Study on Interface Dipole of Metal/High-k Gate Stacks,Y. Kita,S. Yoshida,T. Hosoi,T. Shimura,H. Watanabe,K. Shiraishi,Y. Nara,K. Yamada,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007,2007年10月,研究論文(その他学術会議資料等)
  • Proposal of AION/SiO2 Layered Gate Dielectric for SiC MOS Devices,M. Harada,Y. Watanabe,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007,2007年10月,研究論文(その他学術会議資料等)
  • Thermal Stability of Pure Ge3N4 Dielectric Layers Formed by High-Density Plasma Nirridation,K. Kutsuki,G. Okamoto,T. Hosoi,T. Shimura,H. Watanabe,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007,2007年10月,研究論文(その他学術会議資料等)
  • Humidity-dependent stability of amorphous germanium nitrides fabricated by plasma nitridation,K. Kutsuki,G. Okamoto,T. Hosoi,T. Shimura,H. Watanabe,Appl.Phys. Lett.,Vol. 91,No. 16,2007年10月,研究論文(学術雑誌)
  • Selective Epitaxial Growth of In-situ Carbon-Doped Si on Si Substrates,T. Ikuta,S. Fujita,H. Iwamoto,S. Kadomura,T. Shimura,H. Watanabe,K. Yasutake,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007,Vol. 40,No. 6-7,p. 1122-1125,2007年10月,研究論文(学術雑誌)
  • In-situ Doped Si Selective Epitaxial Growth for Raised Source/Drain Extension CMOSFET,T. Ikuta,Y. Miyanami,S. Fujita,H. Iwamoto,S. Kadomura,T. Shimura,H. Watanabe,K. Yasutake,Extended Abstracts of the 2007 International Conference on SOLID STATE DEVICES AND MATERIALS, TSUKUBA, 2007,2007年09月,研究論文(国際会議プロシーディングス)
  • Low Threshold Voltage Gate-First pMISFETs with Poly-Si/TiN/HfSiON Stacks Fabricated with PVD-based In-situ Solid Phase Interface Reaction(SPIR) Method,N. Kitano,H. Arimura,S. Horie,T. Hosoi,T. Shimura,H. Watanabe,T. Kawahara,S. Sakashita,Y. Nishida,J. Yugami,T. Minami,M. Kosuda,Extended Abstracts of the 2007 International Conference on SOLID STATE DEVICES AND MATERIALS TSUKUBA, 2007,Vol. 2007,p. 12-13,2007年09月,研究論文(国際会議プロシーディングス)
  • Characterization of Pure Ge3N4 Dielectric Layers Formed by High-Density Plasma Nitridation,K. Kutsuki,G. Okamoto,T. Hosoi,T. Shimura,K. Yasutake,H. Watanabe,Extended Abstracts of the 2007 International Conference on SOLID STATE DEVICES AND MATERIALS, TSUKUBA, 2007,Vol. 47,No. 4,p. 2415-2419,2007年09月,研究論文(国際会議プロシーディングス)
  • Suppression of surface segregation and heavy arsenic doping into silicon during selective epitaxial chemical vapor deposition under atmospheric pressure,Tetsuya Ikuta,Shigeru Fujita,Hayato Iwamoto,Shingo Kadomura,Takayoshi Shimura,Heiji Watanabe,Kiyoshi Yasutake,Appl. Phys. Lett.,Vol. 91,No. 9,2007年08月,研究論文(学術雑誌)
  • ゲルマニウム窒化膜の形成と評価,朽木克博,岡本学,細井卓治,志村 考功,安武潔,渡部平司,ゲルマニウム窒化膜の形成と評価,2007年06月,研究論文(その他学術会議資料等)
  • Investigation of 4H-SiC MIS Devices with AlON/SiO2 Layered Structures.,M. Harada,Y. Watanabe,S. Okda,T. Shimura,K. Yasutake,H. Watanabe,Abstracts of 2007 MRS Spring Meeting,2007年04月
  • Systematic Study on Effective Work Function Instability of Metal/High-k Gate Stacks.,Y.Kita,S. Yoshida,T. Shimura,K. Yasutake,H. Watanabe,K. Shiraishi,Y. Nara,K. Yamada,Abstracts of 2007 MRS Spring Meeting,2007年04月
  • Characterization of TiN/HfSiON gate stacks fabricated by the PVD-based in-situ method,H. Arimura,S. Horie,T. Minami,N. Kitano,M. Kosuda,T. Shimura,K. Shiraishi,H. Watanabe,Extended Abstracts of 2007 IMFEDK International Meeting for Future of Electron Devices,Kansai,2007年04月
  • Electric properties of 4H-SiC MIS devices with AlON/SiO2 stacked gate dielectrics,Y. Watanabe,M. Harada,S. Okada,T. Shimura,K. Yasutake,H. Watanabe,Extended Abstracts of 2007 IMFEDK International Meeting for Future of Electron Devices,Kansai,2007年04月
  • Impact of Physical Vapor Deposition-Based In situ Fabrication Method on Metal/High-k Gate Stacs,H.Watanabe,S. Horie,T.Minami,N. Kitano,M. Kosuda,T. Shimura,K. Yasutake,Jpn. J. Appl. Phys.,Vol. 46,No. 4B,p. 1910-1915,2007年04月,研究論文(学術雑誌)
  • Atmospheric In situ Arsenic-Doped SiGe Selective Epitaxial Growth for Raised-Extension N-type Metal-Oxide-Semiconductor Field-Effect Transistor,T. Ikuta,Y. Minami,S. Fujita,H. Iwamoto,S. Kadomura,T. Shimura,H. Watanabe,K. Yasutake,Jpn. J. Appl. Phys.,Vol. 46,No. 4B,p. 1916-1920,2007年04月,研究論文(学術雑誌)
  • 放射光X線回折による埋め込み酸化膜の構造解析,志村考功,応用物理学会 薄膜・表面物理分科会News Letter,2007年03月
  • 低損傷スパッタ成膜装置を用いた真空一貫メタル電極/高誘電率絶縁膜ゲートスタック作製技術の提案,渡部平司,志村考功,南卓士,北野尚武,小須田求,キヤノンアネルバ技報,キヤノンアネルバ,Vol. 13,p. 5-11,2007年03月
  • Heavy arsenic doping of silicon grown by atmospheric pressure selective epitaxial cheical vapor deposition,T.Ikuta,Y. Miyanami,S.Fujita,H. Iwamoto,S. Kadomura,T. Simura,H. Watanabe,K. Yasutake,Science and Technology of Advanced Marerials,Vol. 8,No. 3,p. 142-145,2007年03月,研究論文(学術雑誌)
  • Residual Order in the Interfacial SiO2 Layer between a High-k Material and a Si Substrate,Takayoshi SHIMURA,Eiji MISHIMA,Kohta KAWAMURA,Heiji WATANABE,Kiyoshi YASUTAKE,Photon Factory Activity Report 2005,2007年02月,研究論文(大学,研究機関等紀要)
  • 次世代nMOSFET用HfSix/HfO2/Siゲートスタックの界面反応メカニズム,喜多 祐起,吉田 慎一,安藤 崇志,田井 香織,岩元 勇人,志村 考功,渡部 平司,安武 潔,ゲートスタック研究会-材料・プロセス・評価の物理 第12回研究会予稿集,2007年02月
  • SiGe/SOI構造の酸化濃縮過程における自己停止現象とその解析,志村考功,清水教弘,堀内慎一郎,渡部平司,安武 潔,梅野正隆,ゲートスタック研究会-材料・プロセス・評価の物理 第12回研究会予稿集,2007年02月
  • 真空一貫PVD成膜により作製したTiN/HfSiONゲートスタックの構造および電気特性評価,堀江 伸哉,南 卓士,北野 尚武,小須田 求,志村 考功,白石 賢二,渡部 平司,ゲートスタック研究会-材料・プロセス・評価の物理 第12回研究会予稿集,2007年02月
  • High performance gate-first pMISFET with TiN/HfSiON gate stacks fabricated with PVD-based in-situ method,Takaaki Kawahara,Yukio Nishida,Shinsuke Sakashita,Jiro Yugami,Naomu Kitano,Takashi Minami,Motomu Kosuda,Shinya Horie,Hiroaki Arimura,Takayoshi Shimura,Heiji Watanabe,ECS Transactions,Vol. 11,No. 4,p. 585-599,2007年,研究論文(国際会議プロシーディングス)
  • High performance gate-first pMISFET with TiN/HfSiON gate stacks fabricated with PVD-based in-situ method,Takaaki Kawahara,Yukio Nishida,Shinsuke Sakashita,Jiro Yugami,Naomu Kitano,Takashi Minami,Motomu Kosuda,Shinya Horie,Hiroaki Arimura,Takayoshi Shimura,Heiji Watanabe,ECS Transactions,Vol. 11,No. 4,p. 585-599,2007年,研究論文(国際会議プロシーディングス)
  • Interface engineering by PVD-based in-situ fabrication method for advanced metal/high-k gate stacks,Heiji Watanabe,Shinya Horie,Hiroaki Arimura,Naomu Kitano,Takashi Minami,Motomu Kosuda,Takayoshi Shimura,Kiyoshi Yasutake,ECS Transactions,Vol. 6,No. 3,p. 71-85,2007年,研究論文(国際会議プロシーディングス)
  • Interface engineering by PVD-based in-situ fabrication method for advanced metal/high-k gate stacks,Heiji Watanabe,Shinya Horie,Hiroaki Arimura,Naomu Kitano,Takashi Minami,Motomu Kosuda,Takayoshi Shimura,Kiyoshi Yasutake,ECS Transactions,Vol. 6,No. 3,p. 71-85,2007年,研究論文(国際会議プロシーディングス)
  • Physical and Electrical Characterization of HfSix/HfO2 Gate Stacks for High-Performance nMOSFET Application,S. Yoshida,Y. Kita,T. Ando,K. Tai,H. Iwamoto,T. Shimura,H. Watanabe,K. Yasutake,Abstracts of 37th IEEE Semiconductor Interface Specialists Conference 3-3.,2006年12月
  • Structural Change of the Interfacial SiO$_2$ Layer between HfO$_2$ layers and Si Substrates,Takayoshi Shimura,Eiji Mishima,Kohta Kawamura,Heiji Watanabe,Kiyoshi Yasutake,Extended Abstracts of 2006 International Workshop on Dielectric Thin Films for Future ULSI Devices - Science and Technology,2006年11月
  • Oxidation Rate Diminidhment of SiGe Epitaxial Films on Silicon-on-insulator Wafers,S. Horiuchi,M. Shimizu,T. Shimura,H. Watanabe,K. Yasutake,2006年10月
  • Fabrication of Polycrystalline Thin Films on Glass Substrates Using Ge Nano-Islands and Nuclei,K. Minami,C. Yoshimoto,H. Ohmi,T. Shimura,H. Kakiuchi,H. Watanabe,K. Yasutake,Extenden Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2006,2006年10月
  • Characterization of Epitaxial Si Films Grown at Low Temperatures by Atmospheric Pressure Plasma Chemical Vappor Deposition,N. Tawara,H. Ohmi,Y. Terai,T. Shimura,H. Kakiuchi,H. Watanabe,Y. Fujiwara,K. Yasutake,Extenden Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology 2006,2006年10月
  • Structural Change of the Thermal Oxide Layer on Si Substrates by Diffusion of Atomic Oxygen,Takayoshi Shimura,Eiji Mishima,Kohta Kawamura,Heiji Watanabe,Kiyoshi Yasutake,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology,2006年10月
  • Oxidation Rate Diminishment of SiGe Epitaxial Films on Silicon-on-insulator Wafers,S. Horiuchi,M. Shimizu,T. Shimura,H. Watanabe,K. Yasutake,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.155-156, Osaka, Japan.,2006年10月
  • Characterization of Epitaxial Si Films Grown at Low Temperatures by Atmospheric Pressure Plasma Chemical Vapor Deposition,N. Tawara,H. Ohmi,Y. Terai,T. Shimura,H. Kakiuchi,H. Watanabe,Y. Fujiwara,K. Yasutake,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.69-70, Osaka, Japan.,2006年10月
  • Fabrication of Polycrystalline Thin Films on Glass Substrates Using Ge Nano-Islands and Nuclei,K. Minami,C. Yoshimoto,H. Ohmi,T. Shimura,H. Kakiuchi,H. Watanabe,K. Yasutake,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.65-66, Osaka, Japan.,2006年10月
  • Low-Temperature Growth of Epitaxial Si Films by Atmospheric Pressure Plasma Chemical Vapor Deposition Using Porous Carbon Electrode,H. Ohmi,H. Kakiuchi,N. Tawara,T. Wakamiya,T. Shimura,H. Watanabe,K. Yasutake,Jpn. J. Appl. Phys.,Vol. 45,No. 10,p. 8424-8429,2006年10月,研究論文(学術雑誌)
  • Interface Reactions at TiN/HfSiON Gate Stacks Depending on the Electrode Structure and Deposition Method,S. Yoshida,Y. Watanabe,Y. Kita,T. Shimura,H. Watanabe,K. Yasutake,Y. Akasaka,Y. Nara,K. Yamada,Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.147-148, Osaka, Japan.,Vol. 8,No. 3,p. 219-224,2006年10月,研究論文(学術雑誌)
  • A comparison of ultra-thin SiO2 films formed by hyperthermal O-atom beam and rapid thermal oxidation; synchrotron radiation photoemission and crystal truncation rod scattering study,TAGAWA Masahito,YOKOTA Kumiko,YOSHIGOE Akitaka,TERAOKA Yuden,SHIMURA Takayoshi,Applied Physics Letters,Vol. 88, 133512,2006年09月,研究論文(学術雑誌)
  • White X-ray Topography of Lattice Undulation in Bonded Silicon-on-Insulator Wafers,Kazunori Fukuda,Takayoshi Yoshida,Takayoshi Shimura,Kiyoshi Yasutake,Masataka Umeno,Satoshi Iida,Jpn. J. Appl. Phys.,Vol. 45,No. 9,p. 6795-6799,2006年09月,研究論文(学術雑誌)
  • Self-limiting oxidation of SiGe alloy on silicon-on-insulator wafers,Takayoshi Shimura,Michihiro Shimizu,Shinichiro Horiuchi,Heiji Watanabe,Kiyoshi Yasutake,Masataka Umeno,Appl. Phys. Lett.,Vol. 89,No. 11,2006年09月,研究論文(学術雑誌)
  • Application of Synchrotron X-ray Diffraction Methods to Thin Film Materials used in Semiconductor Devices,Takayoshi Shimura,Eiji Mishima,Heiji Watanabe,Kiyoshi Yasutake,Extended Abstract of International Meeting for Future of Electron Devices, Kansai,2006年04月
  • メタル電極形成条件がMetal/HfSiON界面反応と電気特性に及ぼす影響,吉田慎一,渡辺康匡,喜多祐起,志村考功,渡部平司,安武潔,赤坂泰志,奈良安雄,白石賢二,山田啓作,ゲートスタック研究会-材料・プロセス・評価の物理-(第11回研究会),2006年02月
  • Oxidation saturation of SiGe alloy on silicon-on-insulator wafers,T. Shimura,M. Shimizu,S. Horiuchi,H. Watanabe,K. Yasutake,ECS Transactions,Vol. 3,No. 7,p. 1033-1037,2006年,研究論文(国際会議プロシーディングス)
  • Low-temperature Growth of Epitaxial Silicon films by Atmospheric Pressure Plasma Chemical Vapor Deposition,Hiromasa Ohmi,Hiroaki Kakiuchi,Naotaka Tawara,Takuya Wakamiya,Takayoshi Shimura,Heiji Watanabe,Kiyoshi Yasutake,Proceedings of the 6th ICRP and 23rd SPP,2006年01月,研究論文(国際会議プロシーディングス)
  • Effects of Intrinsic and Extrinsic Reactions at Metal/High-k Interfaces on Electrical Properties of Gate Stacks,H. Watanabe,S. Yoshida,Y. Watanabe,E. Mishima,K. Kawamura,Y. Kita,T. Shimura,K. Yasutake,Y. Akasaka,Y. Nara,K. Shiraishi,K. Yamada,Abstracts of 36th IEEE Semiconductor Interface Specialists Conference, 2005, Arlington, VA.,2005年12月
  • Thermal Degradation of HfSiON Dielectrics Caused by TiN Gate Electrodes and Its Impact on Electrical Properties,Heiji Watanabe,Shiniti Yoshida,Yasumasa Watanabe,Takayoshi Shimura,Kiyoshi Yasutake,Yasushi Akasaka,Yasuo Nara,Kunio Nakamura,Keisaku Yamada,Extended Abstracts of the 2005 International Conference on Solid State Devices and Materials,Vol. 45,No. 4,p. 2933-2938,2005年09月,研究論文(学術雑誌)
  • Synchrotron X-ray Topography of Lattice Undulation of Bonded Silicon-on-Insulator Wafers,Kazunori Fukuda,Takayoshi Yoshida,Takayoshi Shimura,Kiyoshi Yasutake,Masataka Umeno,Jpn. J. Appl. Phys. Vol.43, No.3, 2004, 1081-1087,Vol. 43,No. 3,p. 1081-1087,2004年03月,研究論文(学術雑誌)
  • Residual Order within Thermally Grown Amorphous SiO$_2$ on Crystalline Silicon,K.Tatsumura,T.Watanabe,D.Yamasaki,T.Shimura,M.Umeno,I.Ohdomari,Phys. Rev. B 69, 2004, 085212,Vol. 69,No. 8,2004年02月,研究論文(学術雑誌)
  • Large-scale atomistic modeling of thermally grown SiO(2) on Si(111) substrate,K Tatsumura,T Watanabe,D Yamasaki,T Shimura,M Umeno,Ohdomari, I,JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS,JAPAN SOC APPLIED PHYSICS,Vol. 43,No. 2,p. 492-497,2004年02月,研究論文(学術雑誌)
  • Effects of Thermal History on Resudual Order of Thermally Grown Silicon Dioxide,Kosuke Tatsumura,Takanobu Watanabe,Daisuke Yamasaki,Takayoshi Shimura,Masataka Umeno,Iwao Ohdomari,Jpn. J. Appl. Phys. Vol.42 (2003) 7250-7255,Vol. 42,No. 12,p. 7250-7255,2003年12月,研究論文(学術雑誌)
  • Comparison of ordered structure in buried oxide layers in high-dose, low-dose, and ITOX SIMOX wafers,Takayoshi Shimura,Kazunori Fukuda,Takuji Hosoi,Kiyoshi Yasutake,Masataka Umeno,Photon Factory Activity Report, 20 (2003) 84,2003年11月,研究論文(大学,研究機関等紀要)
  • Characterization of SOI Wafers by Large Area X-ray Topography,Takayoshi Shimura,Eiji Mishima,Kiyoshi Yasutake,Shigeru Kimura,Masataka Umeno,SPring-8 User Experiment Report No.10 (2002B) 125,2003年11月,研究論文(大学,研究機関等紀要)
  • Characterization of SOI Wafers by X-ray Topography and Photoluminescence Method,Takayoshi Shimura,Takayoshi Yoshida,Kazunori Fukuda,Kiyoshi Yasutake,Masataka Umeno,SPring-8 User Experiment Report No.10 (2002B) 114,2003年06月,研究論文(大学,研究機関等紀要)
  • Observation of SOI wafers by X-ray topography,Takayoshi SHIMURA,Takayoshi YOSHIDA,Kazunori FUKUDA,Kiyoshi YASUTAKE,Photon Factory Activity Report, 19 (2001) 213,2003年01月,研究論文(大学,研究機関等紀要)
  • Quasi-amorphous structure in the thermal oxide layer on an Si(113) substrate,Takayoshi SHIMURA,Takayoshi YOSHIDA,Kiyoshi YASUTAKE,Photon Factory Activity Report, 19 (2001) 42,2003年01月,研究論文(大学,研究機関等紀要)
  • Transmission-Diffraction and Total-Reflection X-ray Topography of Large-Diameter Silicon Wafers,Seiji Kawado,Yoshimitsu Tsukasaki,Yoshifumi Suzuki,Yoshinori Chikaura,Kazunori Fukuda,Takayoshi Shimura,Yoshiharu Hirose,Ssatoshi Yamaguchi,Kentarou Kajiwara,SPring-8 User Experiment Report No.9 (2002A) 129,2002年10月,研究論文(大学,研究機関等紀要)
  • Development of X-ray Topography for the Characterization of SOI Wafers,Takayoshi Shimura,Takayoshi Yoshida,Kazunori Fukuda,Masataka Umeno,SPring-8 User Experiment Report No.9 (2002A) 119,2002年10月,研究論文(大学,研究機関等紀要)
  • Structural Analysis of One-dimensional Quantum Structure in Ultra High Vacuum by X-ray Standing Wave Method,Akira Ssaito,Tsunehisa Ohashi,Hiroyuki Takaki,Kenji Matoba,Wataru Yashiro,Kazushi Miki,Osami Sakata,Hiroo Tajiri,Takayoshi Shimura,Masatoki Ito,Kazushi Sumitani,Toshio Takahashi,SPring-8 User Experiment Report No.9 (2002A) 96,2002年10月,研究論文(大学,研究機関等紀要)
  • Reconstruction of Surface and Subsurface Layers of Pt(111) at 25K Studied by Surface X-ray Diffraction,M. Nakamura,K. Sumitani,Xie Shaoxing,K. Tanaka,T. Shimura,A. Saito,M. Ito,O. Sakata,T. Takahashi,SPring-8 User Experiment Report No.9 (2002A) 94,2002年10月,研究論文(大学,研究機関等紀要)
  • Measurements of the Fractional-order Reflections of an Si(111) 7$\times$7 Reconstructed Surface,Takayoshi Shimura,Kazunori Fukuda,Takayoshi Yoshida,Akira Saito,Osami Sakata,Masatoki Ito,Kazushi Sumitani,Toshio Takahashi,SPring-8 User Experiment Report No.9 (2002A) 93,2002年10月,研究論文(大学,研究機関等紀要)
  • Structural Study of Buried Oxide Layers in Implanted Si Wafers by X-ray Diffraction Technique,Takayoshi Shimura,Masataka Umeno,Atsushi Ogura,SPring-8 User Experiment Report No.9 (2002A) 92,2002年10月,研究論文(大学,研究機関等紀要)
  • Atomic Structure of the Buried Oxide Layer in SIMOX Wafers,Takayoshi Shimura,Takuji Hosoi,Kazunori Fukuda,Masataka Umeno,Acta Cryst., A58 (2002) C349,2002年08月
  • Study of Si$_{1-x}$Ge$_x$/Si-MBE Growth Process by Using an In-situ Ellipsometric Measurement,Hiroyuki Hayashi,Satoshi Kamei,Tomonori Kohsaki,Takayoshi Shimura,Masataka Umeno,Acta Cryst., A58 (2002) C347,2002年08月
  • X-ray Topographic Observations of Bonded Silicon-on-insulator Wafers using Synchrotron Radiation,Kazunori Fukuda,Takayoshi Yoshida,Takayoshi Shimura,Masataka Umeno,Satoshi Iida,Acta Cryst., A58 (2002) C171,2002年08月
  • X-ray Topography of Local-Strain Distribution in Silicon-On-Insulator Crystals in Comparison with X-ray Microbeam Measurement Results,J. Matsui,Y. Tsusaka,Y. Yokoyama,H. Kurihara,K. Watanabre,M. Katou,Y. Kagoshima,S. Iida,T. Shimura,M. Umeno,K. Kajiwara,SPring-8 User Experiment Report No.8 (2001B) 141,2002年05月,研究論文(大学,研究機関等紀要)
  • Development of the Characterization Technique for Very Thin Crystals by White X-ray Laue Topography,Takayoshi Shimura,Kazunori Fukuda,Takayoshi Yoshida,Masataka Umeno,Satoshi Iida,SPring-8 User Experiment Report No.8 (2001B) 135,2002年05月,研究論文(大学,研究機関等紀要)
  • X-ray Topographic Observation of Suraface-Strain Distribution in Large-Diameter Silicon Wafers,Seiji Kawado,Yoshifumi Suzuki,Yoshimitsu Tsukasaki,Yoshinori Chikaura,Takayoshi Shimura,Kazunori Fukuda,Masataka Umeno,Kentarou Kajiwara,Yoshiharu Hirose,Daisuke Nakamura,Satoshi Iida,SPring-8 User Experiment Report No.8 (2001B) 102,2002年05月,研究論文(大学,研究機関等紀要)
  • Commissioning of the apparatus for analysis of a surface structure on an atomic scale by X-ray scattering and diffraction,O. Ssakata,M. Nakamura,K. Sumitani,T. Shimura,A. Saito,T. Ohashi,H. Takagi,M. Ito,T. Takahashi,SPring-8 User Experiment Report No.8 (2001B) 85,2002年05月,研究論文(大学,研究機関等紀要)
  • Fabrication of Silicon Utilizing Mechanochemical Local Oxidation by Diamond Tip Sliding,Miyake Shojiro,Kim Jongduk,SHIMURA Takayoshi,YASUTAKE Kiyoshi,UMENO Masataka,Japanese journal of applied physics. Pt. 2, Letters,社団法人応用物理学会,Vol. 40,No. 11,p. L1247-L1249,2001年11月15日
  • Low Temperature Laue Topography of Oxygen Isotope Exchanged SrTiO$_3$,Toru Ozaki,Kaoru Mizuno,Satoshi Iida,Takayoshi Shimura,Hirotaka Yamaguchi,Kentarou Kajiwara,Isao Fujimoto,Hiroyuki Okamoto,Masaru Tachibana,Ruiping Wang,Mitsuru Itoh,Yoshinori Chikaura,2001年10月,研究論文(大学,研究機関等紀要)
  • Plane Wave Synchrotron Radiation Topographic Observation of Grown-in Microdefects in Silicon Crystals,Satoshi Iida,Yoshinori Chikaura,Junji Matsui,Takehiro Maehama,Seiji Kawado,Shigeru Kimura,Kentarou Kajiwara,Takayoshi Shimura,Kaoru Mizuno,Satoshi Yamaguchi,Masayuki Dedukuri,SPring-8 User Experiment Report No.7 (2001A) 101,2001年10月,研究論文(大学,研究機関等紀要)
  • Measurements of the Hihger-order Reflection from the Ordered SiO$_2$ in the Thermal Oxide Layer on Si Substrate,Takayoshi Shimura,Kazunori Fukuda,Takayoshi Yoshida,Masataka Umeno,SPring-8 User Experiment Report No.7 (2001A) 74,2001年10月,研究論文(大学,研究機関等紀要)
  • Development of High Energy Synchrotron Radiation Lang Topography,S. Kimura,Y. Chikaura,K. Kajiwara,J. Matsui,S. Iida,T. Shimura,K. Mizuno,SPring-8 User Experiment Report No.6 (2000B) 110,2001年05月,研究論文(大学,研究機関等紀要)
  • Far Field Observation of Plane Wave Synchrotron Radiation Topographic images,Satoshi Iida,Yoshinori Chikaura,Seiji Kawado,Shigeru Kimura,Kentarou Kajiwara,Takehiro Maehama,Sataoshi Ymaguchi,Masayuki dedukuri,Takayoshi Shimura,Junji Matsui,Kaoru Mizuno,SPring-8 User Experiment Report No.6 (2000B) 85,2001年05月,研究論文(大学,研究機関等紀要)
  • Characterization of 300mm-diameter Silicon Crystals by Large-Area X-ray Topography,Seiji Kawado,Satoshi Iida,Satoshi Yamaguchi,Jun-ichi Yoshimura,Kaoru Mizuno,Takayoshi Shimura,Toru Ozaki,Kentarou Kajiwara,Junji Matsui,SPring-8 User Experiment Report No.6 (2000B) 85,2001年05月,研究論文(大学,研究機関等紀要)
  • X-ray Diffraction Measurements of Internal Strain in Si Nanostructure,Takayoshi Shimura,Kaunori Fukuda,Yutaka Yamazaki,Takayoshi Yoshida,Masataka Umeno,Masao Nagase,SPring-8 User Experiment Report No.6 (2000B) 62.,2001年05月,研究論文(大学,研究機関等紀要)
  • X-ray Scattering from Si Nanostructures,Takayoshi Shimura,Takuji Hosoi,Masataka Umeno,Masao Nagase,NTT,Basic Research Laboratories,Photon Factory Activity Report, Vol.~17, pp.~102-102,2000年11月,研究論文(大学,研究機関等紀要)
  • Assessment and Instrumentation on the high-temperature SXR Topography in the BL28B2 Station,Yoshinori Chikaura,Kyushu,Institute of Technology,Kentarou Kajiwara,Kyushu,Institute of Technology,Satoshi Iida,Toyama University,Kaoru Mizuno,Shimane University,Seiji Kawado,Rigaku Corporation,Yoshifumi Suzuki (Kyushu,Institute of Technology,Toru Tanaka,Kyushu,Institute of Technology,Junji Matsui,Himeji Institute of Technology,Masataka Umeno,Toru Ozaki,Hiroshima,Institute of Technology,Takayoshi Shimura,Kunihide Izumi,Kyoto University,Shigeru Kimura,NEC corporation,SPring-8 User Experiment Report, Vol.~2000A, No.~5, pp.~227-227,2000年10月,研究論文(大学,研究機関等紀要)
  • Development of Low Temperature Laue Topography at BL28B2,Toru Ozaki,Hiroshima,Institute of Technology,Kentarou Kajiwara,Kyushu,Institute of Technology,Kaoru Mizuno,Shimane University,Satoshi Iida,Toyama University,Isao Fujimoto,Hiroshima,Institute of Technology,Jun-ichi Yoshimura,Yamanashi University,Yoshinori Chikaura (Kyushu Institute of Technology,Takayoshi Shimura,Masaru Tachibana,Yokohama University,Shigeru Kimura,NEC corporation,Kunihide Izumi,Kyoto University,Yoshifumi Suzuki (Kyushu,Institute of Technology,Junji Matsui,Himeji Institute of Technology,Seiji Kawado,Rigaku Corporation,Koichi Kawakaki,Niihama National,College of Technology,Masataka Umeno,SPring-8 User Experiment Report, Vol.~2000A, No.~5, pp.~225-225,2000年10月,研究論文(大学,研究機関等紀要)
  • Detection of Microdefects in Si Crystals by means of High-energy Section Topography,Shigeru Kimura,NEC corporation,Kentarou Kajiwara,Kyushu,Institute of Technology,Kaoru Mizuno,Shimane University,Satoshi Iida,Toyama University,Takayoshi Shimura,Kenji Yokoyama,Himeji,Institute of Technology,Masato Urakawa,Himeji,Institute of Technology,Yasuo Tsusaka,Himeji,Institute of Technology,Junji Matsui,Himeji Institute of Technology,Yoshinori Chikaura (Kyushu Institute of Technology,SPring-8 User Experiment Report, Vol.~2000A, No.~5, pp.~223-223,2000年10月,研究論文(大学,研究機関等紀要)
  • Development of Large-Area X-ray Topography to Observe 300mm-diameter Silicon Crystal,Seiji Kawado,Rigaku Corporation,Satoshi Iida,Toyama University,Ken-ichiro Ishikawa,Toyama University,Yoshinori Chikaura (Kyushu Institute of Technology,Yoshifumi Suzuki (Kyushu,Institute of Technology,Kentarou Kajiwara,Kyushu,Institute of Technology,Shigeru Kimura,NEC corporation,Junji Matsui,Himeji Institute of Technology,Masataka Umeno,Takayoshi Shimura,Kaoru Mizuno,Shimane University,Toru Ozaki,Hiroshima,Institute of Technology,Kunihide Izumi,Kyoto Uni,SPring-8 User Experiment Report, Vol.~2000A, No.~5, pp.~175-175,2000年10月,研究論文(大学,研究機関等紀要)
  • Development of High Energy Synchrotron Radiation Topography,Sataoshi Iida,Toyama University,Yoshinori Chikaura (Kyushu Institute of Technology,Seiji Kawado,Rigaku Corporation,Shigeru Kimura,NEC Corporation,Kentarou Kajiwara,Kyushu,Institute of Technology,Takayoshi Shimura,Kunihide Izumi,Kyoto University,Koichi Kawasaki,Niihama National,College of Technology,Ienichiro Ishikawa,Toyama University,Junji Matsui,Hemeji Institute of Technology,Yoshifumi Suzuki (Kyusyu Institute of Technology,Kaoru Mizuno,Shimane University,Toru Ozaki,Hiroshima,Institute of Technology,Masataka Umeno,SPring-8 User Experiment Report, Vol.~2000A, No.~5, pp.~171-171,2000年10月,研究論文(大学,研究機関等紀要)
  • Effects of the Electrical Stress on the Ordered Structure in the Thernal Oxide Layer on Si Substrates,Takayoshi Shimura,Takuji Hosoi,Masataka Umeno,SPring-8 User Experiment Report, Vol.~2000A, No.~5, pp.~142-142,2000年10月,研究論文(大学,研究機関等紀要)
  • The Crystalline SiO$_2$ Phase in the BOX Layer of SIMOX Wafers,Takayoshi Shimura,Takuji Hosoi,Masataka Umeno,Proceedings of the 3rd SANKEN International Symposium on Advanced Nanoelectronics: Devices, Materials, and Computing, Vol.~57, pp.~128-132,2000年03月
  • Ordered SiO$_2$ Structure in the Buried Oxide of SIMOX SOI Wafers,Takayoshi Shimura,Takuji Hosoi,Masataka Umeno,Proceedings of the 4th Symposium on Atomic-scale Surface and Interface Dynamics, pp.~395-399,Vol. 2000,No. 2,p. 241-249,2000年03月,研究論文(国際会議プロシーディングス)
  • Ordered Structure in Buried Oxide Layers of SIMOX Wafers,Takayoshi Shimura,Takuji Hosoi,Masataka Umeno,Photon Factory Activiity Report, Vol.~18, pp.~70-70,1999年11月,研究論文(大学,研究機関等紀要)
  • Ordered Structure in Buried Oxide Layers of SIMOX Wafers,Takayoshi Shimura,Takuji Hosoi,Masataka Umeno,SPring-8 User Experiment Report, Vol.~1999A, No.~3, pp.~90-90,1999年10月,研究論文(大学,研究機関等紀要)
  • In-situ Radical Beam Oxidation of MBE-Si Grown on a Hydrogen Terminated Si(111),Masataka Umeno,Yoshifumi Yoshioka,Takahiro Mura,Kouzoh Mizobata,Takayoshi Shimura,Acta Crystallographica, suppl., Vol.~A55, pp.~503-503,1999年08月
  • Atomic Structure of the Thermal Oxide Layers on Si(001), (111), and (110) Wafers,Takayoshi Shimura,Masataka Umeno,Acta Crystallographica, suppl., Vol.~A55, p.~503,1999年08月
  • X-ray Scattering from the Crystalline SiO$_2$ in Buried Oxide Layers of SIMOX Wafers,Takuji Hosoi,Takayoshi Shimura,Masataka Umeno,Acta Crystallographica, suppl., Vol.~A55, pp.~503-503,1999年08月,研究論文(その他学術会議資料等)
  • Analysis of Ordered Structure of Buried Oxide Layers in SIMOX Wafers,Takayoshi Shimura,Takuji Hosoi,Masataka Umeno,Proceedings of the 9th International Symposium on Silicon-on-Insulator Technology and Devices, Vol.~99-3, pp.~155-160,Vol. 99,No. 3,p. 155-160,1999年05月,研究論文(国際会議プロシーディングス)
  • Preliminary Experiments of Surface and Interface in BL09XU,Shinichiro Nakatani,ity of Tokyo,Wataru Yashiro,rsity of Tokyo,Shuji Kusano,sity of Tokyo,Toshio Takahashi,rsity of Tokyo,Takayoshi Shimura,Akira Saito,Yashuharu Kashihara (Japan Synchrotron Radiation Research Institute,Makina Yabashi,Japan,Synchrotron Radiation,Research Institute,Nobuo Kashiwagura,Gifu University,Yoshikazu Fujii,Kobe Uni,Masatoki Ito,Keio Un,Munehiro Sugiyama,NTT Cooporation,Masamitsu Takahasi,Japan Synchrotron Radiation Research Institute,Yoshitaka Yoda,sity of Toky,Spring-8 User Experiment Report, 1998 A No.~2, p.~103,1999年03月,研究論文(大学,研究機関等紀要)
  • Effects of Post-oxidation Anneal on the Ordered SiO$_2$ in the Thermal Oxide Layers on Si(001) Surfaces,Takayoshi Shimura,Hiroo Sensui,Masataka Umeno,Photon Factory Activity Report, Vol.~15, pp.~222-222,1998年12月,研究論文(大学,研究機関等紀要)
  • SOIウェーハの埋め込み酸化層からのX線散乱,志村 考功,細井 卓治,江尻 理帆,梅野 正隆,日本結晶学会誌,The Crystallographic Society of Japan,Vol. 40,p. 175-175,1998年
  • Observation of a distributed epitaxial oxide in thermally grown SiO2 on Si(001) - Comment,T Shimura,M Umeno,Takahashi, I,J Harada,PHYSICAL REVIEW LETTERS,AMERICAN PHYSICAL SOC,Vol. 79,No. 24,p. 4932-4932,1997年12月,研究論文(学術雑誌)
  • Thermally oxidized layers on Si wafers –surface X-ray scattering and field ion microscopy-,J. Harada,I. Takahashi,T. Shimura,M. Umeno,Advances in the understanding of crystal growth mechanism,Elsevier Science,p. 247-266,1997年,研究論文(学術雑誌)
  • Structure of Thermal Oxide on (111) and (011) Si Wafers,Takayoshi Shimura,Hiroo Sensui,Masataka Umeno,Proceedings of the 2nd International Symposium on Advanced Science and Technology of Silicon Materials, pp.371-377,1996年11月
  • X-ray Diffraction Evidence for Crystalline SiO$_2$ in Thermal Oxide Layers on Si Substrates,Takayoshi Shimura,Isao Takahashi,Kwansei Gakuin University,Jimpei Harada,Rigaku Corporation,Masataka Umeno,Proceedings of the 3rd International Symposium on the Physics and Chemistry of SiO$_2$ and the Si-SiO$_2$ interface, pp.456-467,Vol. 96,No. 1,p. 456-467,1996年05月,研究論文(国際会議プロシーディングス)
  • X-ray Scattering from Microcrystalinity in the Thermally Oxidized SiO$_{2}$ Thin Films on Si(110) Surfaces,Takayoshi Shimura,Ryouji Kojima,Hiroshi Misaki,Masataka Umeno,Program and Abstracts of 2nd Conference of the Asian Crystallographic Association,1995年11月
  • X-ray crystal fruncation rod scattering from MRE grown (CaF┣D22┫D2-SrF┣D22┫D2)/Si(III) superlattices(共著),志村考功,75/,263,Appl. Surf. Sci.,1994年,研究論文(学術雑誌)

MISC

  • Fabrication of Tensile-strained Single-crystalline GeSn Wires on Amorphous Quartz Substrates by Local Liquid-phase Crystallization,T. Shimura,H. Oka,T. Hosoi,Y. Imai,S. Kimura,H. Watanabe,Proceedings of The 8th International Symposium on Advanced Science and Technology of Silicon Materials,p. 143-146,2022年11月,研究発表ペーパー・要旨(国際会議)
  • 高性能GaN MOSFET実現に向けたSiO2/GaN界面制御,細井卓治,山田高寛,野崎幹人,高橋言諸,山田 永,清水三聡,吉越章隆,志村考功,渡部平司,電子情報通信学会技術研究報告 = IEICE technical report : 信学技報,電子情報通信学会,Vol. 118,No. 110,p. 11-14,2018年06月
  • SiO,Watanabe Kenta,Terashima Daiki,Nozaki Mikito,Yamada Takahiro,Nakazawa Satoshi,Ishida Masahiro,Anda Yoshiharu,Ueda Tetsuzo,Yoshigoe Akitaka,Hosoi Takuji,Shimura Takayoshi,Watanabe Heiji,Jpn. J. Appl. Phys.,Institute of Physics,Vol. 57,No. 6,2018年05月11日
  • 放射光光電子分光法によるGaN上GaO<sub>x</sub>層の熱脱離過程の評価,野崎幹人,寺島大貴,渡邉健太,山田高寛,吉越章隆,細井卓治,志村考功,渡部平司,応用物理学会春季学術講演会講演予稿集(CD-ROM),Vol. 65th,2018年03月05日
  • SiO<sub>2</sub>/GaN MOSデバイスの信頼性向上に向けた界面酸化層の制御,山田高寛,寺島大貴,渡邉健太,野崎幹人,山田永,高橋言諸,清水三聡,吉越章隆,細井卓治,志村考功,渡部平司,応用物理学会春季学術講演会講演予稿集(CD-ROM),Vol. 65th,2018年03月05日
  • Control of Ga-oxide interlayer growth and Ga diffusion in SiO,Yamada Takahiro,Watanabe Kenta,Nozaki Mikito,Yamada Hisashi,Takahashi Tokio,Shimizu Mitsuaki,Yoshigoe Akitaka,Hosoi Takuji,Shimura Takayoshi,Watanabe Heiji,Appl. Phys. Express,Institute of Physics,Vol. 11,No. 1,2017年12月18日
  • プラズマ CVD 成膜した SiO2/AlGaN 界面特性の成膜電力依存性と堆積後熱処理の検討,寺島 大貴,渡邉 健太,山田 高寛,野﨑 幹人,施 泓安,中澤 敏志,按田 義治,上田 哲三,吉越 章隆,細井 卓治,志村 考功,渡部 平司,応用物理学会秋季学術講演会講演予稿集(CD-ROM),Vol. 78th,2017年11月
  • ICP エッチング表面のプラズマ酸化処理による SiO2/GaN 界面欠陥の低減,山田 高寛,渡邉 健太,野崎 幹人,高橋 言諸,山田 永,清水 三聡,施 泓安,中澤 敏志,按田 義治,上田 哲三,吉越 章隆,細井 卓治,志村 考功,渡部 平司,応用物理学会秋季学術講演会講演予稿集(CD-ROM),Vol. 79th,2017年11月
  • AlGaN表面の熱酸化過程の放射光光電子分光分析,渡邉健太,山田高寛,野崎幹人,中澤敏志,SHIH Hongan,按田義治,上田哲三,吉越章隆,細井卓治,志村考功,渡部平司,応用物理学会春季学術講演会講演予稿集(CD-ROM),Vol. 64th,2017年03月01日
  • Design and control of interface reaction between Al-based dielectrics and AlGaN layer for hysteresis-free AlGaN/GaN MOS-HFETs,K. Watanabe,M. Nozaki,T. Yamada,S. Nakazawa,Y. Anda,M. Isliida,T. Ueda,A. Yoshigoe,T. Hosoi,T. Shimura,H. Watanabe,Proceedings of the International Symposium on Power Semiconductor Devices and ICs,Institute of Electrical and Electronics Engineers Inc.,p. 219-222,2017年
  • 熱酸化処理によるSiO<sub>2</sub>/GaN界面でのGaO<sub>x</sub>形成とMOS界面特性向上,山田高寛,渡邉健太,野崎幹人,吉越章隆,細井卓治,志村考功,渡部平司,応用物理学会秋季学術講演会講演予稿集(CD-ROM),Vol. 77th,2016年09月01日
  • 低欠陥密度GaN基板の熱酸化過程の評価,山田高寛,伊藤丈予,淺原亮平,渡邉健太,野崎幹人,中澤敏志,按田義治,石田昌宏,上田哲三,吉越章隆,細井卓治,志村考功,渡部平司,応用物理学会春季学術講演会講演予稿集(CD-ROM),Vol. 63rd,2016年03月03日
  • 放射光光電子分光法によるn‐GaN上Al/Tiコンタクトの界面反応分析,伊藤丈予,淺原亮平,野崎幹人,中澤敏志,石田昌宏,上田哲三,吉越章隆,寺岡有殿,細井卓治,志村考功,渡部平司,応用物理学会春季学術講演会講演予稿集(CD-ROM),Vol. 62nd,2015年02月26日
  • High‐k/Geゲートスタック界面特性向上に向けたゲート電極形成後熱処理条件の検討,田中亮平,秀島伊織,箕浦佑也,吉越章隆,寺岡有殿,細井卓治,志村考功,渡部平司,応用物理学会春季学術講演会講演予稿集(CD-ROM),Vol. 61st,2014年03月03日
  • 極薄AlO<sub>x</sub>層によるHigh‐k/Ge界面反応抑制とEOT=0.56nmの実現,田中亮平,秀島伊織,箕浦佑也,吉越章隆,寺岡有殿,細井卓治,志村考功,渡部平司,応用物理学会秋季学術講演会講演予稿集(CD-ROM),Vol. 74th,2013年08月31日
  • 横方向液相成長によって作製したGOI構造のフォトルミネッセンス測定,松江将博,安武裕輔,深津晋,細井卓治,志村考功,渡部平司,応用物理学会秋季学術講演会講演予稿集(CD-ROM),Vol. 74th,2013年08月31日
  • MBD法により作製したMetal/High‐k/GeO<sub>2</sub>/Geスタックの熱処理による構造変化,秀島伊織,田中亮平,箕浦佑也,吉越章隆,寺岡有殿,細井卓治,志村考功,渡部平司,応用物理学会春季学術講演会講演予稿集(CD-ROM),Vol. 60th,2013年03月11日
  • Gate Stack Technologies for SiC Power MOSFETs (Invited),H. Watanabe,T. Hosoi,T. Kirino,Y. Uenishi,A. Chanthaphan,D. Ikeguchi,A. Yoshigoe,Y. Teraoka,S. Mitani,Y. Nakano,T. Nakamura,T. Shimura,220th ECS Meeting - Boston, MA,Vol. 41,No. 3,p. 77-90,2011年10月
  • Impact of Stacked AlON/SiO2 Gate Dielectrics for SiC Power Devices (Invited),H. Watanabe,T. Kirino,Y. Uenishi,A. Chanthaphan,A. Yoshigoe,Y. Teraoka,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,ECS Transactions,Vol. 35,No. 2,p. 265-274,2011年05月
  • SiO<sub>2</sub>/4H‐SiC界面構造と伝導帯オフセットの相関,桐野嵩史,CHANTHAPHAN Atthawut,池口大輔,吉越章隆,寺岡有殿,箕谷周平,中野佑紀,中村孝,細井卓治,志村考功,渡部平司,応用物理学会学術講演会講演予稿集(CD-ROM),Vol. 71st,2010年08月30日
  • 極薄EOT実現に向けたプラズマ窒化応用high‐k/Geゲートスタックの提案,朽木克博,岡本学,秀島伊織,上西悠介,桐野嵩史,HARRIES James,吉越章隆,寺岡有殿,細井卓治,志村考功,渡部平司,応用物理学関係連合講演会講演予稿集(CD-ROM),Vol. 57th,2010年03月03日
  • 放射光XPSによるSiO<sub>2</sub>/4H‐SiC構造の伝導帯オフセット評価,桐野嵩史,景井悠介,岡本学,HARRIES James,吉越章隆,寺岡有殿,箕谷周平,中野佑紀,中村孝,細井卓治,志村考功,渡部平司,応用物理学関係連合講演会講演予稿集(CD-ROM),Vol. 57th,2010年03月03日
  • 4H‐SiC(0001)面の熱酸化により形成したSiO<sub>2</sub>/SiC界面の放射光XPS評価,桐野嵩史,景井悠介,岡本学,HARRIES James,吉越章隆,寺岡有殿,箕谷周平,中野佑紀,中村孝,細井卓治,志村考功,渡部平司,応用物理学会学術講演会講演予稿集,Vol. 70th,No. 1,2009年09月08日
  • 界面特性に優れたAl<sub>2</sub>O<sub>3</sub>/ZrO<sub>2</sub>/GeO<sub>2</sub>積層構造ゲート絶縁膜の作製と評価,岡本学,朽木克博,景井悠介,HARRIES James,吉越章隆,寺岡有殿,細井卓治,志村考功,渡部平司,応用物理学会学術講演会講演予稿集,Vol. 70th,No. 2,2009年09月08日
  • プラズマ窒化SiC表面の熱酸化により形成したSiO<sub>2</sub>/SiC界面の放射光XPS評価,景井悠介,小園幸平,朽木克博,吉越章隆,寺岡有殿,細井卓治,志村考功,渡部平司,応用物理学関係連合講演会講演予稿集,Vol. 56th,No. 1,2009年03月30日
  • 金属電極とハフニウム系高誘電率ゲート絶縁膜界面の実効仕事関数変調機構,渡部平司,喜多祐起,細井卓治,志村考功,白石賢二,奈良安雄,山田啓作,電子情報通信学会技術研究報告[シリコン材料・デバイス],一般社団法人電子情報通信学会,Vol. 108,No. 335(SDM2008 184-195),p. 21-25,2008年12月
  • 金属電極とHf系高誘電率絶縁膜界面の実効仕事関数変調機構,渡部平司,喜多祐起,細井卓治,志村考功,白石賢二,奈良安雄,山田啓作,半導体・集積回路技術第72回シンポジウム講演論文集,Vol. 72nd,p. 73-76,2008年07月
  • 格子間酸素に起因した金属電極/Hf系ゲート絶縁膜の実効仕事関数変調,喜多祐起,景井悠介,細井卓治,志村考功,渡部平司,白石賢二,門島勝,奈良安雄,山田啓作,応用物理学関係連合講演会講演予稿集,Vol. 55th,No. 2,2008年03月27日
  • Characteristics of Pure Ge_3N_4 Dielectric Layers Formed by High-Density Plasma Nitridation,KUTSUKI Katsuhiro,OKAMOTO Gaku,HOSOI Takuji,SHIMURA Takayoshi,YASUTAKE Kiyoshi,WATANABE Heiji,Extended abstracts of the ... Conference on Solid State Devices and Materials,Vol. 2007,p. 1034-1035,2007年09月19日
  • 放射光XPSによるGe<sub>3</sub>N<sub>4</sub>膜の化学結合状態及び熱脱離過程のその場観察,細井卓治,朽木克博,岡本学,原田真,吉越章隆,寺岡有殿,志村考功,渡部平司,応用物理学会学術講演会講演予稿集,Vol. 68th,No. 2,2007年09月04日
  • Metal/High‐kゲートスタックの界面形態が実効仕事関数に及ぼす影響,喜多祐起,吉田慎一,細井卓治,志村考功,渡部平司,白石賢二,門島勝,奈良安雄,山田啓作,応用物理学会学術講演会講演予稿集,Vol. 68th,No. 2,2007年09月04日
  • Hf系ゲート絶縁膜/電極界面の実効仕事関数変調機構の統一的理解,喜多祐起,吉田慎一,志村考功,安武潔,渡部平司,白石賢二,大田晃生,宮崎誠一,奈良安雄,山田啓作,応用物理学関係連合講演会講演予稿集,Vol. 54th,No. 2,2007年03月27日
  • sc-SSOI(超臨界膜厚SSOI)基板の評価,吉田哲也,小瀬村大亮,掛村康人,武井宗久,斎藤博之,小椋厚志,志村考功,小金澤智之,広沢一郎,応用物理学会学術講演会講演予稿集,Vol. 68th,No. 2,2007年
  • メタル電極とHfSiON絶縁膜界面反応の評価,喜多祐起,吉田慎一,渡辺康匡,志村考功,渡部平司,安武潔,赤坂泰志,奈良安雄,中村邦雄,山田啓作,精密工学会関西地方定期学術講演会講演論文集,Vol. 2006,p. 97-98,2006年08月04日
  • Oxidation of Si(001) with a hyperthermal O-atom beam at room temperature: Suboxide distribution and residual order structure,Masahito Tagawa,Chie Sogo,Kumiko Yokota,Akitaka Yoshigoe,Yuden Teraoka,Takayoshi Shimura,Appl. Phys. Lett.,Vol. 88,No. 13,2006年03月
  • X線反射率測定によるTiN/HfSiON界面の熱安定性評価,川村浩太,三島永嗣,志村考功,渡部平司,安武潔,神山聡,赤坂泰志,奈良安雄,中村邦雄,山田啓作,精密工学会大会学術講演会講演論文集,Vol. 2006,2006年03月01日
  • Si熱酸化膜中の残留秩序構造と絶縁膜/Si界面反応研究への応用,志村考功,三島永嗣,渡部平司,安武 潔,梅野正隆,辰村光介,渡邉孝信,大泊 巌,ゲートスタック研究会 -材料・プロセス・評価の物理-(第11回研究会),Vol. 13-18,2006年02月
  • Si熱酸化膜中の残留秩序構造と絶縁膜/Si界面反応研究への応用,ゲートスタック研究会 -材料・プロセス・評価の物理-(第11回研究会),Vol. 13-18,2006年
  • Si熱酸化膜中の残留秩序構造と絶縁膜/Si界面反応研究への応用,ゲートスタック研究会 -材料・プロセス・評価の物理-(第11回研究会),Vol. 13-18,2006年
  • Residual Order in Thermal Oxide Layers and Its applicatioin to the Study of Interface Reaction,Vol. 13-18,2006年
  • Ordered Structure in the Thermal Oxide Layer on Silicon Substrates,Takayoshi Shimura,Eiji Mishima,Heiji Watanabe,Kiyoshi Yasutake,Masataka Umeno,Kousuke Tatsumura,Takanobu Watanabe,Iwao Ohdomari,Keisaku Yamada,Satoshi Kamiyama,Yasushi Akasaka,Yasuo Nara,Kunio Nakamura,Physics and Chemistry of SiO$_2$ and the Si-SiO$_2$ Interface 5,2005年10月
  • X線反射率測定によるTiN/HfSiON界面の熱安定性評価,川村浩太,三島永嗣,志村考功,渡部平司,安武潔,神山聡,赤坂泰志,奈良安雄,中村邦雄,山田啓作,応用物理学会学術講演会講演予稿集,Vol. 66th,No. 2,2005年09月07日
  • メタル電極形成条件がTiN/HfSiON界面反応と電気特性に及ぼす影響,渡辺康匡,吉田慎一,喜多祐起,志村考功,渡部平司,安武潔,赤坂泰志,奈良安雄,中村邦雄,山田啓作,応用物理学会学術講演会講演予稿集,Vol. 66th,No. 2,2005年09月07日
  • 熱処理に伴うHfSiO<sub>x</sub>/SiO<sub>2</sub>/Si構造の界面酸化反応のX線CTR散乱測定,三島永嗣,川村浩太,志村考功,渡部平司,安武潔,神山聡,赤坂泰志,奈良安雄,中村邦雄,山田啓作,精密工学会大会学術講演会講演論文集,公益社団法人 精密工学会,Vol. 2005,No. 0,p. J45-820,2005年09月01日
  • 高誘電率ゲート絶縁膜とメタルゲート電極との界面反応の評価,喜多祐起,吉田慎一,渡辺康匡,志村考功,渡部平司,安武潔,赤坂泰志,奈良安雄,中村邦雄,山田啓作,精密工学会大会学術講演会講演論文集,Vol. 2005,2005年09月01日
  • Reactions and diffusion of atomic and molecular oxygen in the SiO2 network,K Tatsumura,T Shimura,E Mishima,K Kawamura,D Yamasaki,H Yamamoto,T Watanabe,M Umeno,Ohdomari, I,PHYSICAL REVIEW B,AMERICAN PHYSICAL SOC,Vol. 72,No. 4,2005年07月
  • Comparison of ordered structure in buried oxide layers in high-dose, low-dose, and internal-thermal-oxidation separation-by-implanted-oxygen wafers,T Shimura,K Fukuda,K Yasutake,T Hosoi,M Umeno,THIN SOLID FILMS,ELSEVIER SCIENCE SA,Vol. 476,No. 1,p. 125-129,2005年04月
  • X線CTR散乱によるHfSiO<sub>x</sub>/SiO<sub>2</sub>/Si構造の界面残留秩序の測定,三島永嗣,川村浩太,志村考功,渡部平司,神山聡,赤坂泰志,奈良安雄,中村邦雄,山田啓作,応用物理学関係連合講演会講演予稿集,Vol. 52nd,No. 2,2005年03月29日
  • TiN/HfSiON界面反応がHigh‐k膜の結晶化温度と電気特性に及ぼす影響,吉田慎一,渡辺康匡,志村考功,渡部平司,安武潔,赤坂泰志,奈良安雄,中村邦雄,山田啓作,応用物理学関係連合講演会講演予稿集,Vol. 52nd,No. 2,2005年03月29日
  • Comparison of Ordered Structure in Buried Oxide Layers in High-dose, Low-dose, and Internal-thermal-oxidation Separation-by-implanted-oxygen Wafers,Takayoshi Shimura,Kazunori Fukuda,Kiyoshi Yasutake,Takuji Hosoi,Masataka Umeno,Thin Solid Films,Vol. 476,No. 1,p. 125-129,2005年03月
  • X-ray Diffraction Measurements of Internal Strain in Si Nanowires Fabricated using a Self-limiting Oxidation,Takayoshi Shimura,Kiyoshi Yasutake,Masataka Umeno,Masao Nagase,Appl. Phys. Lett.,Vol. 86, 071903/,,2005年02月
  • X-ray diffraction measurements of internal strain in Si nanowires fabricated using a self-limiting oxidation process,T Shimura,K Yasutake,M Umeno,M Nagase,APPLIED PHYSICS LETTERS,AMER INST PHYSICS,Vol. 86,No. 7,2005年02月
  • Ordered Structure in the Thermal Oxide Layer on Silicon Substrates,Physics and Chemistry of SiO$_2$ and the Si-SiO$_2$ Interface 5,2005年
  • HfSiON膜中の局所絶縁劣化箇所のC-AFM観測-窒化による信頼性向上メカニズムの検討-,渡辺康匡,志村考功,渡部平司,安武潔,神山聡,有門経敏,白石賢二,梅澤直人,知京豊裕,山田啓作,ゲートスタック研究会(第10回特別研究会)講演予稿集 p.327-331.,Vol. p.327-331,2005年01月
  • Ordered Structure in the Thermal Oxide Layer on Silicon Substrates,Physics and Chemistry of SiO$_2$ and the Si-SiO$_2$ Interface 5,2005年
  • Ordered Structure in the Thermal Oxide Layer on Silicon Substrates,Physics and Chemistry of SiO$_2$ and the Si-SiO$_2$ Interface 5,2005年
  • Quasi Phase-contrast Imaging of the Variation in Lattice Spacing of Very Thin Si Layers,Takayoshi Shimura,Eiji Mishima,Kiyoshi Yasutake,Shigeru Kimura,Masataka Umeno,SPring-8 User Experiment Report, No.13, 2004A,Vol. No.13, 2004A/,,2004年11月
  • Characterization of SOI wafers by synchrotron X-ray topography,Takayoshi Shimura,Kazunori Fukuda,Kiyoshi Yasutake,Masataka Umeno,Eur. Phys. J. Appl. Phys. 27, 439-442 (2004),Vol. 27,No. 1-3,p. 439-442,2004年09月
  • Observation of Concentric Circular Patterns of State-of-the-art SOI Wafers by Large Area X-ray Topography,Takayoshi Shimura,Eiji Mishima,Kiyoshi Yasutake,Shigeru Kiumura,Masataka Umeno,SPring-8 User Experimenta Report, No.12 (2003B) 110.,Vol. No.12 (2003B) 110,2004年07月
  • Characterization of SOI wafers by synchrotron X-ray topography,T Shimura,K Fukuda,K Yasutake,M Umano,EUROPEAN PHYSICAL JOURNAL-APPLIED PHYSICS,EDP SCIENCES S A,Vol. 27,No. 1-3,p. 439-442,2004年07月
  • Residual Order within Thernally Grown SiO$_2$ on Si(113) Substrate,Kosuke Tatsumura,Takanobu Watanabe,Iwao Ohdomari,Toyohiro Chikyow,Takayoshi Shimura,Masataka Umeno,Ext. Abst. of International Workshop on Dielectric Thin Films for Future ULIS Devices - Science and Technology, 2004, Tokyo,2004年05月
  • Residual Order within Thernally Grown SiO$_2$ on Si(113) Substrate,Ext. Abst. of International Workshop on Dielectric Thin Films for Future ULIS Devices - Science and Technology, 2004, Tokyo,2004年
  • Observation of Concentric Circular Patterns of State-of-the-art SOI Wafers by Large Area X-ray Topography,SPring-8 User Experimenta Report, No.12 (2003B) 110.,Vol. No.12 (2003B) 110,2004年
  • Quasi Phase-contrast Imaging of the Variation in Lattice Spacing of Very Thin Si Layers,SPring-8 User Experiment Report, No.13, 2004A,Vol. No.13, 2004A/,,2004年
  • Development of Characterization Technique of SOI Wafers by Synchrotron X-ray Topography,The Proceedings of the 4th International Symposium on Advanced Science and Technology of Silicon Materials,2004年
  • Low Temperature Laue Topography of Strontium titanate at SPring-8,T.Ozaki,I.Fujimoto,K.Mizuno,S.Iida,K.Kajiwara,T.Taira,J.Yoshimura,T.Shimura,Y.Chikaura,Nuclear Instruments and Methods in Physics Research B 199 (2003) 81-84,Vol. 199,p. 81-84,2003年04月
  • Beamline for Surface and Interface Structures at SPring-8,O.Sakata,Y.Furukawa,S.Goto,T.Mochizuki,T.Uruga,K.Takeshita,H.Ohashi,T.Ohata,T.Matsushia,S.Takahashi,H.Tajiri,T.Ishikawa,M.Nakamura,M.Ito,K.Sumitani,T.Takahashi,T.Shimura,A.Saito,M.Takahashi,Surface Review and Letters, Vol.10, 2-3 (2003) 543-547,Vol. 10,No. 2-3,p. 543-547,2003年02月
  • Large-Area X-ray Topographs of Lattice Undulation of Bonded Silicon-on-insulator Wafers,Kazunori Fukuda,Takayoshi Yoshida,Takayoshi Shimura,Kiyoshi Yasutake,Masataka Umeno,Jpn. J. Appl. Phys. 42 (2003) L117-L119,Vol. 42,No. 2A,p. L117-L119,2003年02月
  • Existence of an Epitaxially Ordered Phase in the Buried Oxide of SIMOX Wafers,Takayoshi Shimura,Takuji Hosoi,Kazunori Fukuda,Masataka Umeno,Solid State Phenomnena,Vol. 82-84,p. 485-490,2002年12月
  • Observation of Lattice Undulation of Commercial Bonded SOI Wafers by Synchrotron X-ray Topography,K. Fukuda,T. Yoshida,T. Shimura,K. Yasutake,M. Umeno,Jpn. J. Appl. Phys. 41 [11B] (2002) L1325-L1327.,Vol. 41,No. 11B,p. L1325-L1327,2002年11月
  • In situ Ellipsometric Measurement during Growth of Ge on Si(111) by Molecular Beam Epitaxy,Tetsuya Ikuta,Yoshifumi Yoshioka,Satoshi Kamei,Hiroyuki Hayashi,Takayoshi Shimura,Masataka Umeno,Jpn. J. Appl. Phys, Vol.41 (2002) 2262-2265,Vol. 41,No. 4,p. 2262-2265,2002年04月
  • Formation of Epitaxially Ordered SiO$_2$ in Oxygen-implanted Silicon during Thermal Annealing,Takayoshi Shimura,Takuji Hosoi,Kazunori Fukuda,Masataka Umeno,Atsushi Ogura,J. Cryst. Growth, 236 (2002) 37-40,Vol. 236,No. 1-3,p. 37-40,2002年03月
  • Si(111)面上におけるSi_<1-X>Ge_X薄膜のMBE成長過程,亀井 聡,林 寛之,神前 智憲,志村 考功,梅野 正隆,精密工学会大会学術講演会講演論文集,Vol. 2001,No. 2,p. 253-253,2001年09月01日
  • Development of Characterization Technique of SOI wafers by X-ray Topography,Takayoshi Shimura,Kazunori Fukuda,Yutaka Yamazaki,Takayoshi Yoshida,Masataka Umeno,SPring-8 User Experiment Report No.6 (2000B) 80.,2001年05月
  • Construction of Topography stations at SPring-8 and First Observation,Y.Chikaura,S.Iida,S.Kawado,K.Mizuno,S.Kimura,J.Matsui,M.Umeno,T.Ozaki,T.Shimura,Y.Suzuki,K.Izumi,K.Kawasaki,K.Kajiwara,T.Ishikawa,J. Phys. D: Appl. Phys.,Vol. 34,No. 10A,p. A158-A162,2001年05月
  • Monitoring of Si Molecular-Beam Epitaxial Growth by an Ellipsometric Method,Yoshifumi Yoshioka,Tetsuya Ikuta,Toshiya Taji,Kouzou Mizobata,Takayoshi Shimura,Masataka Umeno,Jpn.~J. Appl.~Phys., Vol.~40, No.~1, pp.~371-375,Vol. 40,No. 1,p. 371-375,2001年01月
  • Direct Observation of the Gettering Processes of Fe Atoms in SOI Wafers by M$\ddot{o}$ssbauer Spectroscopy,Yutaka Yoshida(Sizuoka Institute of Science,Technology,Satoshi Ogawa(Sizuoka,Institute of Science,Technology,Sigeru Endou(Sizuoka,Institute of Science,Technology,Takayoshi Shimura,Masataka Umeno,Proceedings of the 3rd International Symposium on Advanced Science and Technology of Silicon Materials,2000年11月
  • Investigation of SOI Wafers by X-ray Diffraction Techniques,Takayoshi Shimura,Takuji Hosoi,Kiyoshi Yasutake,Masataka Umeno,Proceedings of the 3rd International Symposium on Advanced Science and Technology of Silicon Materials,p. 636-641,2000年11月
  • 22pYH-11 SPring-8における低温ラウエトポグラフィーの開発,尾崎 徹,梶原 堅太郎,水野 薫,飯田 敏,藤本 勲,吉村 順一,志村 考功,近浦 吉則,日本物理学会講演概要集,一般社団法人日本物理学会,Vol. 55,No. 2,p. 816-816,2000年09月10日
  • Characterization of SOI waters by X-ray CTR scattering (共著),志村考功,/210,98-101,Journal of Crystal Growth,Vol. 210,No. 1,p. 98-101,2000年03月01日
  • Ordered Structure in Buried Oxide Layers of SOI Wafers (Proceedings of the Second International Conference on SRMS(Synchrotron Radiation in Materials Science)(2)),Shimura Takayoshi,Hosoi Takuji,Ejiri Riho,Japanese Journal of Applied Physics Pt. 1 Regular Papers, Short Notes & Review Papers,Publication Office, Japanese Journal of Applied Physics, Faculty of Science, University of Tokyo,Vol. 38,No. 1,p. 297-300,1999年06月
  • Ordered Structure in Buried Oxide Layers of Soi Waters (共著),志村考功,38/1,297-300,Jpn. J. Appl. Phys.,Vol. 38,No. 1,p. 297-300,1999年
  • Effects of the Substrate Crystals upon the Structure of Thermal Oxide Layers on Si(共著),志村考功,33/4,637,Crystal Research and Technology,Vol. 33,No. 4,p. 637-642,1998年
  • Comments on Observation of a Distributed Epitaxial Oxide in Thermally Grown SiO┣D22┫D2 on Si(001)(共著),志村考功,79/24,4932,Physical Review Letters,Vol. 79,No. 24,p. 4932-4933,1997年12月15日
  • Si熱酸化膜中のSiO2結晶相,志村 考功,梅野 正隆,シムラ タカヨシ,ウメノ マサタカ,大阪大学低温センターだより,大阪大学低温センター,Vol. 99,p. 21-25,1997年07月
  • シリコン熱酸化膜中のSiO$_{2}$結晶相,志村考功,梅野正隆,放射光学会誌, Vol. 10, No. 3, pp. 286-298,Vol. 10,No. 3,1997年06月
  • シリコン熱酸化膜中のSiO┣D22┫D2結晶相(共著),志村考功,10/3,286,日本放射光学会誌,Vol. 10,No. 3,1997年
  • X-ray Scattering from Crystalline SiO$_2$ in the Thermal Oxide Layers on Vicinal Si(111) Surfaces,Takayoshi Shimura,Hiroshi Misaki,Masataka Umeno,Acta Crystallographica, Vol.A52, Supplement, pp.C465-C465,Vol. 52,p. C465-C465,1996年08月,研究発表ペーパー・要旨(国際会議)
  • X-ray Diffraction Evidence for the Existence of Epitaxial Microcrystallites in Thermally Oxidized SiO$_{2}$ Thin Films on the Si(111) Surface,Takayoshi Shimura,Hiroshi Misaki,Masataka Umeno,Abstracts of the 11th International Conference on Crystal Growth, p.795,Vol. 166,No. 1-4,p. 786-791,1995年06月
  • X線散乱による結晶成長表面・界面の評価(共著),志村考功,21/5,209,日本結晶成長学会誌,Vol. 21,No. 5,1994年
  • Characterization of Growth Surface and Interface of Crystals by X-ray Scattering(共著),Jouranal of the Crystal Growth Society of Japan,Vol. 21,No. 5,1994年
  • Structure of silicon oxide Si(001) growth at low temperature(共著),志村考功,315/,L1021,Surt. Sci.,Vol. 315,No. 3,p. L1021-L1024,1994年
  • Absolute measurement of CTR scattering and comparison with theoretical predictions(共著),志村考功,198/,195,Physica B,Vol. 198,No. 1-3,p. 195-196,1994年
  • X-RAY CRYSTAL TRUNCATION ROD SCATTERING FROM MBE GROWN (CAF2-SRF2)/SI(111) SUPERLATTICES,J HARADA,Y ITOH,T SHIMURA,TAKAHASHI, I,JC ALVAREZ,NS SOKOLOV,APPLIED SURFACE SCIENCE,ELSEVIER SCIENCE BV,Vol. 75,p. 263-268,1994年01月
  • 14p-DL-10 シリコン(001)面上に成長した微細結晶相の構造 : 低温酸化の場合,高橋 功,中野 晃軌,原田 仁平,志村 孝功,梅野 正隆,日本物理学会講演概要集. 秋の分科会,一般社団法人日本物理学会,Vol. 1993,No. 2,p. 607-607,1993年09月20日
  • X-RAY CHARACTERIZATION OF THE MBE GROWN CrF┣D22┫D2/CaF┣D22┫D2 SUPERSTRUCTURES ON Si(III)SUBSTRATE(共著),志村考功,A49,319,Acta Crystallographica Supplement,Vol. A49,319/,,1993年
  • THE DIFFUSE X-RAY SCATTERING FROM A CRYSTAL SURFACE POSSESSING SOME ROUGHNESS(共著),志村考功,A49,319,Acta Crystallographica supplement,Vol. A49,319/,,1993年
  • EVALUATION OF THE THEORIES OF CTR SCATTERING BY ABSOLUTE MEASUREMENT OF ITS INTENSITIES(共著),志村考功,A49,318,Acta Crystallographica supplement,Vol. A49,318/,,1993年
  • Epitaxial Grown Microcrystals in thermally Oxidized Amorphous SiO┣D22┫D2 Film on Si(001)Waters(共著),志村考功,615,Extended Abstracts of the 1993 International Conference on Solid State Devices and Materials,Vol. 615,1993年
  • X-ray diffraction evidence for epitaxial microcrystallinity in thermally oxidized SiO┣D22┫D2 thin films on the Si(001)surface(共著),志村考功,5,6525,Journal of Physics : Condensed Matter,Vol. 5,No. 36,p. 6525-6536,1993年
  • A New Technique for the Observation of X-ray CTR Scattering by Using an Imaging Plate Detector. (共著),志村考功,26/2,151,Journal of Applied Crystallography,Vol. 26,No. 2,p. 151-158,1993年
  • 30a-ZB-7 X線解析を用いたSi熱酸化膜中微細結晶相の研究(3),志村 考功,飯田 裕,高橋 功,原田 仁平,年会講演予稿集,一般社団法人日本物理学会,Vol. 47,No. 2,p. 533-533,1992年03月12日
  • Observation and Analysis of Growth Surface of Crystals by X-ray Scattering(共著),志村考功,29,Proceeding of the second R. O. C-Japan Joint Seminar on Crystallography,Vol. 29,1992年
  • Characterization of the(0001)surface of ice In crystal by crystal truncation rod scattering with the use of a synchrotron radiation source(共著),志村考功,121,360,JOURNAL OF CRYSTAL GROWTH,Vol. 121,No. 3,p. 360-364,1992年
  • 27a-L-8 X線CTR散乱によるSio_2/Si(001)の構造研究II,志村 考功,原田 仁平,佐俣 秀一,松下 嘉明,年会講演予稿集,一般社団法人日本物理学会,Vol. 46,No. 2,p. 479-479,1991年09月12日
  • A Structural study of the Thermally oxidized Si(001)water by X-ray CTR scattering(共著),志村考功,258,235,Surface Science,Vol. 258,No. 1-3,p. 235-238,1991年
  • THE CHARACTERIZATION OF THE(111)FACET FACES ON THE SEED CONE OF[100]SILICON SINGLE CRYSTALS GROWN BY MCZ AND CZ METHODS BY X-RAY CTR SCATTERING(共著),志村考功,104,773,JOURNAL OF CRYSTAL GROWTH,Vol. 104,No. 4,p. 773-779,1990年

著書

  • Epitaxially Ordered Structure in the Buried Oxide Layer of SIMOX Waters,The Physics and Chemistry of SiO<sub>2</sub> and the Si-SiO<sub>2</sub> Interface 4,(The Electrochemical Society, INC),2000年
  • Analysis of Orderd Structure of Buried Oxide Layers in SIMOX Waters,志村考功,SILICON-ON-INSULATOR TECHNOLOGY AND DEVICES (]G0001[) (]G0010[)(THE ELECTROCHEMICAL SOCIETY, INC),1999年
  • Advances in the Understanding of Crystal Growth Mechanisms,Takayoshi Shimura,Elsevier Science,1997年03月
  • Thermally Oxidized Layers on Si-wafers-Surface X-ray Scattering and Field Ion Microscopy-(共著),志村考功,Advances in the Understanding of Crystal Gronth Mechanisms,1997年
  • X-RAY DIFFRACTION EVIDENCE FOR CRYSTALLINE SiO┣D22┫D2 IN THERMAL OXIDE LAYERS ON Si SUBSTRATES(共著),志村考功,1996年
  • Structure of thermal Oxide on(111)and(011)Si Wafers(共著),志村考功,Advanced Science and Technology of Silicon Materials,1996年
  • X-RAY DIFFRACTION EVIDENCE FOR CRYSTALLINE SiO<sub>2</sub> IN THERMAL OXIDE LAYERS ON Si SUBSTRATES(共著),The Physics and Chemistry of SiO<sub>2</sub> and the Si-SiO<sub>2</sub> Interface 3,1996年
  • characterization of the Surface of Ice Crystal by X-Ray CTR Scattering(共著),志村考功,Physics and Chemistry of Ice,1992年
  • X-ray Scattering Study of the Thermally Oxidized layer on a Si(001)Water(共著),志村考功,Advanced Science and Technology of Silicon Materials,1991年

特許・実用新案・意匠

  • 半導体装置及び半導体装置の製造方法,渡部 平司,志村 考功,細井 卓治,辻 英徳,寺尾 豊,染谷 満,特願2017-037034,出願日:2017年02月28日
  • 半導体装置,渡部 平司,細井 卓治,志村 考功,中村 亮太,中野 佑紀,箕谷 周平,中村 孝,浅原 浩和,特願2018-099969,出願日:2018年05月24日
  • 半導体装置および半導体装置の製造方法,渡部 平司,山田 高寛,野▲崎▼ 幹人,細井 卓治,志村 考功,JP2016075465,出願日:2016年08月31日
  • 半導体装置,渡部 平司,細井 卓治,志村 考功,中村 亮太,中野 佑紀,箕谷 周平,中村 孝,浅原 浩和,特願2017-096578,出願日:2017年05月15日
  • 炭化珪素半導体装置の製造方法,渡部 平司,志村 考功,細井 卓治,染谷 満,特願2016-053685,出願日:2016年03月17日
  • 半導体装置の製造方法及びこれに用いる半導体製造装置,渡部 平司,志村 考功,細井 卓治,染谷 満,特願2016-040129,出願日:2016年03月02日
  • 成膜方法及び成膜装置,東雲 秀司,柏木 勇作,両角 友一朗,和村 有,原田 豪繁,高橋 宏輔,渡部 平司,志村 考功,細井 卓治,特願2016-218913,出願日:2016年11月09日
  • 成膜方法及び成膜装置,東雲 秀司,柏木 勇作,両角 友一朗,和村 有,原田 豪繁,高橋 宏輔,渡部 平司,志村 考功,細井 卓治,特許第6253748号,特願2016-218913,出願日:2016年11月09日
  • 半導体装置,渡部 平司,志村 考功,細井 卓治,箕谷 周平,中野 佑紀,中村 亮太,中村 孝,特願2016-092748,出願日:2016年05月02日
  • 半導体装置,渡部 平司,志村 考功,細井 卓治,箕谷 周平,中野 佑紀,中村 亮太,中村 孝,特許第6239681号,特願2016-092748,出願日:2016年05月02日
  • 半導体デバイス及びその製造方法,東雲 秀司,森嶋 雅人,大内 健次,加藤 大輝,渡部 平司,志村 考功,細井 卓治,特願2014-136918,出願日:2014年07月02日
  • 成膜方法及び成膜装置,東雲 秀司,柏木 勇作,両角 友一朗,中島 滋,原田 豪繁,渡部 平司,志村 考功,細井 卓治,特願2014-076095,出願日:2014年04月02日
  • X線撮像方法及びX線撮像装置,志村 考功,渡部 平司,細井 卓治,森本 直樹,藤野 翔,特願2013-216577,出願日:2013年10月17日
  • X線撮像方法及びX線撮像装置,志村 考功,渡部 平司,細井 卓治,森本 直樹,藤野 翔,特許第6256941号,特願2013-216577,出願日:2013年10月17日
  • 半導体装置,箕谷 周平,中野 佑紀,渡部 平司,志村 考功,細井 卓治,桐野 嵩史,特願2014-248468,出願日:2014年12月08日
  • X線撮像装置及びX線撮像方法,志村 考功,渡部 平司,細井 卓治,森本 直樹,藤野 翔,特願2013-180597,出願日:2013年08月30日
  • X線撮像装置及びX線撮像方法,志村 考功,渡部 平司,細井 卓治,森本 直樹,藤野 翔,特許第6232603号,特願2013-180597,出願日:2013年08月30日
  • 半導体装置,渡部 平司,細井 卓治,志村 考功,中村 亮太,中野 佑紀,箕谷 周平,中村 孝,浅原 浩和,特願2012-265615,出願日:2012年12月04日
  • 成膜方法及び成膜装置,東雲 秀司,柏木 勇作,両角 友一朗,和村 有,原田 豪繁,高橋 宏輔,渡部 平司,志村 考功,細井 卓治,特願2012-221662,出願日:2012年10月03日
  • 成膜方法及び成膜装置,東雲 秀司,柏木 勇作,両角 友一朗,和村 有,原田 豪繁,高橋 宏輔,渡部 平司,志村 考功,細井 卓治,特許第6042160号,特願2012-221662,出願日:2012年10月03日
  • 半導体装置およびその製造方法,北野 尚武,南 卓士,山口 述夫,清野 拓哉,中川 隆史,渡部 平司,志村 考功,細井 卓治,特願2012-102887,出願日:2012年04月27日
  • 半導体装置およびその製造方法,北野 尚武,南 卓士,山口 述夫,清野 拓哉,中川 隆史,渡部 平司,志村 考功,細井 卓治,特許第5960491号,特願2012-102887,出願日:2012年04月27日
  • 単結晶状GeSn含有材料の製造方法,志村 考功,渡部 平司,細井 卓治,特許第5943341号,特願2012-042746,出願日:2012年02月29日
  • 単結晶状GeSn含有材料の製造方法および単結晶状GeSn含有材料基板,志村考功,渡部平司,細井卓治,特願2012-042746,出願日:2012年02月
  • 半導体装置およびその製造方法,渡部 平司,志村 考功,細井 卓治,箕谷 周平,中野 佑紀,中村 亮太,中村 孝,特願2012-039059,出願日:2012年02月24日
  • 半導体装置およびその製造方法,箕谷 周平,中野 佑紀,渡部 平司,志村 考功,細井 卓治,桐野 嵩史,JP2010065057,出願日:2010年09月02日
  • 真空装置を窒素置換後に大気圧に開放する器具,坂田 修身,志村 考功,隅谷 和嗣,特願2002-330156,出願日:2002年11月14日
  • 半導体の歪量を測定する装置及び方法、半導体の歪量分布を測定する装置及び方法、並びに半導体製造装置及び方法,梅野 正隆,志村 考功,亀井 聡,特願2002-260115,出願日:2002年09月05日
  • 半導体装置および半導体装置の製造方法,渡部 平司,山田 高寛,野▲崎▼ 幹人,細井 卓治,志村 考功,特許第6245593号,特願2017-541989,出願日:2016年08月31日
  • X線位相差撮像装置,佐野 哲,田邊 晃一,吉牟田 利典,木村 健士,岸原 弘之,和田 幸久,和泉 拓朗,白井 太郎,土岐 貴弘,堀場 日明,志村 考功,渡部 平司,細井 卓治,2016-148428,出願日:2016年07月
  • 半導体装置およびその製造方法,箕谷 周平,中野 佑紀,渡部 平司,志村 考功,細井 卓治,桐野 嵩史,特許第5823294号,特願2011-529942,出願日:2010年09月02日

作品

  • 原子論的生産技術の創出拠点,2004年 ~
  • ガラス基板表面の核形成点制御による大粒径多結晶薄膜形成法の開発,2004年 ~
  • 原子論的生産技術の創出拠点,2004年 ~
  • X線を用いた酸化Siナノ構造の歪みの定量解析,1999年 ~
  • ナノメータ・デバイス対応のSOIウェーハに対する極限評価技術の開発,1999年 ~
  • Characterization of Oxidized Si Nano-structure by X-ray Dittraction,1999年 ~
  • Ultimate Characterization Technique of Silicon Crystal for the Nano-meter LSI Devices,1999年 ~

受賞

  • 第8回(2016年秋季)応用物理学会 Poster Award,冨田 崇史,岡 博史,小山 真広,田中 章吾,細井 卓治,志村 考功,渡部 平司,公益社団法人 応用物理学会,2016年09月
  • 第7回(2016年春季)応用物理学会 Poster Award,小川慎吾,淺原亮平,箕浦佑也,迫秀樹,川崎直彦,山田一子,宮本隆志,細井卓治,志村考功,渡部平司,応用物理学会,2016年03月
  • 第3回(2014年春季)応用物理学会 Poster Award,小川慎吾,川崎直彦,木村耕輔,田中亮平,箕浦佑也,細井卓治,志村考功,渡部平司,応用物理学会,2014年03月
  • 2008 IWDTF Best Poster Award,T. Shimura,Y. Okamoto,T. Inoue,T. Hosoi,H. Watanabe,The Japan Society of Applied Physics, Japan,2008年11月

講演・口頭発表等

  • Fabrication and Luminescence Characterization of Uniaxial Tensile-strained Ge Wires using Internal Stress in Metal Thin Films,T. Shimura,S. Tanaka,H. Watanabe,T. Hosoi,The 19th International Conference on Defects-Recognition, Imaging and Physics in Semiconductors (DRIP19),2022年08月30日
  • 犠牲酸化プロセスによる SiC MOSFET の電気特性劣化,八軒 慶慈,藤本 博貴,小林 拓真,平井 悠久,染谷 満,岡本 光央,志村 考功,渡部 平司,第71回応用物理学会春季学術講演会,2024年03月23日
  • Si基板上GeSn細線のレーザー溶融結晶化における下地SiO2膜厚とレーザー走査速度の最適化,早川 雄大,近藤 優聖,國吉 望月,小林 拓真,志村 孝功,渡部 平司,第71回応用物理学会春季学術講演会,2024年03月23日
  • 低温追酸化によるSiO2/SiC界面発光中心の密度制御と電気特性との相関,大西 健太郎,中沼 貴澄,田原 康佐,朽木 克博,志村 考功,渡部 平司,小林 拓真,第71回応用物理学会春季学術講演会,2024年03月23日
  • 第一原理計算に基づく4H-SiC中酸素関連欠陥の系統的調査,岩本 蒼典,志村 考功,渡部 平司,小林 拓真,第71回応用物理学会春季学術講演会,2024年03月23日
  • Si 基板上 GeSn 細線のレーザー溶融結晶化における レーザー走査条件と下地 SiO2膜厚の最適化,早川 雄大,近藤 優聖,國吉 望月,小林 拓真,志村 考功,渡部 平司,第 29 回 電子デバイス界面テクノロジー研究会,2024年02月02日
  • 量子技術応用に向けたSiC MOS界面単一光子源の制御,中沼 貴澄,田原 康佐,朽木 克博,志村 考功,渡部 平司,小林 拓真,応用物理学会 先進パワー半導体分科会 第10回講演会,2023年12月01日
  • SiO2とSiCの直接貼り合わせによるSiO2/SiC構造の形成,神畠 真治,小林 拓真,志村 考功,渡部 平司,応用物理学会 先進パワー半導体分科会 第10回講演会,2023年11月30日
  • ゲートストレス印加によるSiC MOS界面の劣化とデバイス特性への影響,小柳 香穂,小林 拓真,平井 悠久,染谷 満,岡本 光央,志村 考功,渡部 平司,応用物理学会 先進パワー半導体分科会 第10回講演会,2023年11月30日
  • プラズマ窒化・SiO2堆積・CO2熱処理の複合プロセスによる高品質SiC MOS構造の形成,藤本 博貴,小林 拓真,志村 考功,渡部 平司,応用物理学会 先進パワー半導体分科会 第10回講演会,2023年11月30日
  • SiO2/GaOx/GaN構造の固定電荷に対するポストアニールの効果,荒木 唯衣,小林 拓真,冨ケ原 一樹,野﨑 幹人,志村 考功,渡部 平司,応用物理学会 先進パワー半導体分科会 第10回講演会,2023年11月30日
  • Below-gap光照射によるn型GaN MOS 界面の正孔トラップ評価,冨ケ原 一樹,小林 拓真,野﨑 幹人,志村 考功,渡部 平司,応用物理学会 先進パワー半導体分科会 第10回講演会,2023年11月30日
  • 低温追酸化プロセスによるSiO2/SiC界面単一光子源の形成,大西 健太郎,中沼 貴澄,田原 康佐,朽木 克博,志村 考功,渡部 平司,小林 拓真,2023年11月30日
  • Effects of doped Mg concentrations on the reduction of hole traps in the vicinity of the SiO2/p-GaN MOS interface,Hidetoshi Mizobata,Mikito Nozaki,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,The 14th International Conference on Nitride Semiconductors (ICNS-14),2023年11月14日
  • Hole Traps in SiO2/GaN MOS structures Evaluated by Below-gap Light Illumination,Kazuki Tomigahara,Takuma Kobayashi,Mikito Nozaki,Takayoshi Shimura,Heiji Watanabe,The 14th International Conference on Nitride Semiconductors (ICNS-14),2023年11月14日
  • Characterizations of nitrogen profiles and interface properties in NO-nitrided SiO2/SiC(03̅38̅) structures,Hayato Iwamoto,Takato Nakanuma,Hirohisa Hirai,Mitsuru Sometani,Mitsuo Okamoto,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,2023 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES – SCIENCE AND TECHNOLOGY -,2023年10月25日
  • 局所溶融結晶化GeSn PINダイオードの発光特性解析,岩本 蒼典,細井 卓治,小林 拓真,志村 考功,渡部 平司,第84回 応用物理学会秋季学術講演会,2023年09月23日
  • Below-gap光照射を用いたSiO2/p型GaN構造の正孔トラップ評価,冨ケ原 一樹,小林 拓真,野﨑 幹人,志村 考功,渡部 平司,第84回 応用物理学会秋季学術講演会,2023年09月22日
  • SiO2/p-GaN MOS界面近傍の正孔トラップ低減に対するMgドープ濃度の影響,溝端 秀聡,野﨑 幹人,小林 拓真,志村 考功,渡部 平司,第84回 応用物理学会秋季学術講演会,2023年09月22日
  • SiO2/SiC(0-33-8) 構造の NO 窒化過程の観察と電気特性評価,岩本 隼登,中沼 貴澄,平井 悠久,染谷 満,岡本 光央,小林 拓真,志村 考功,渡部 平司,第84回 応用物理学会秋季学術講演会,2023年09月21日
  • 高エネルギーX線CT計測 -高角散乱X線を用いたライトシート3Dイメージングとの比較検証-,志村 考功,梶原 堅太郎,辻 成希,小林 拓真,渡部 平司,第84回 応用物理学会秋季学術講演会,2023年09月19日
  • Formation of color centers at SiO2/SiC interfaces by thermal oxidation and its correlation with electrical properties,Kentaro Onishi,Takato Nakanuma,Kosuke Tahara,Katsuhiro Kutsuki,Takayoshi Shimura,Heiji Watanabe,Takuma Kobayashi,International Conference on Silicon Carbide & Related Materials 2023,2023年09月21日
  • A SiO2/SiC interface formed by direct bonding of SiO2 and SiC,Shinji Kamihata,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,International Conference on Silicon Carbide & Related Materials 2023,2023年09月20日
  • Ab initio study of oxygen-vacancy defect in 4H-SiC: A potential qubit,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,International Conference on Silicon Carbide & Related Materials 2023,2023年09月20日
  • Controlling the properties of single photon emitters at SiO2/SiC interfaces by oxidation and annealing,Takato Nakanuma,Kosuke Tahara,Katsuhiro Kutsuki,Takayoshi Shimura,Heiji Watanabe,Takuma Kobayashi,International Conference on Silicon Carbide & Related Materials 2023,2023年09月19日
  • Improved interface properties in SiC(0001) MOS structures by plasma nitridation of SiC surface prior to SiO2 deposition,Hiroki Fujimoto,Takuma Kobayashi,Yu Iwakata,Takayoshi Shimura,Heiji Watanabe,International Conference on Silicon Carbide & Related Materials 2023,2023年09月19日
  • Accurate analysis of leakage characteristics of SiC (1-100) MOS devices over a wide temperature range,Asato Suzuki,Takuma Kobayashi,Mitsuru Sometani,Mitsuo Okamoto,Takayoshi Shimura,Heiji Watanabe,International Conference on Silicon Carbide & Related Materials 2023,2023年09月19日
  • Fabrication of SiO2/4H-SiC MOS devices by sputter deposition of SiO2 followed by high-temperature CO2-post deposition annealing,Tae-Hyeon Kil,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,International Conference on Silicon Carbide & Related Materials 2023,2023年09月18日
  • Ge-on-Siストーンサークルイメージセンサ,江藤剛治,武藤秀樹,澤野憲太郎,渡部平司,志村考功,Edoardo Charbon,映像情報メディア学会 情報センシング研究会(IST),2023年09月15日
  • SiO2/SiC界面発光中心密度と電気的特性の相関,中沼 貴澄,田原 康佐,木村 大至,朽木 克博,志村 考功,渡部 平司,小林 拓真,第70回 応用物理学会春季学術講演会,2023年03月15日
  • Si基板上GeSn細線のレーザー溶融結晶化と光学特性評価,近藤 優聖,田淵 直人,國吉 望月,小林 拓真,志村 考功,渡部 平司,第70回 応用物理学会春季学術講演会,2023年03月16日
  • Beyond the temporal resolution limit of silicon image sensors,T. Shimura,G. T. Etoh,H. Watanabe,Ultrafast Imaging and Tracking Instrumentation, Methods and Applications Conference (ULITIMA 2023),2023年03月16日
  • スパッタ成膜によるGe(100)基板上への高品質単結晶GeSn層のエピタキシャル成長,田中 信敬,國吉 望月,安部 和弥,星原 雅生,小林 拓真,志村 考功,渡部 平司,電子デバイス界面テクノロジー研究会-材料・プロセス・デバイス特性の物理-(第28回研究会),2023年02月04日
  • 半導体デバイスにおける局所歪み計測の重要性とナノ放射光技術への期待,志村 考功,未来社会にむかう理研放射光センター・産業界連携シンポジウム, 第3回 大阪大学・理研・産業界の連携による先端半導体評価プラットフォーム整備構想,2023年02月02日
  • Challenges in SiO2/SiC Interface Engineering for SiC Power MOSFETs,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,The 48th Conference on the Physics and Chemistry of Surfaces and Interfaces (PCSI-48),2023年01月17日
  • 酸化および熱処理プロセスによる SiO2/SiC 界面発光中心の制御,中沼 貴澄,田原 康佐,木村 大至,朽木 克博,志村 考功,渡部 平司,小林 拓真,先進パワー半導体分科会 第9回講演会,2022年12月20日
  • NO 窒化 SiO2/SiC(11-20) 界面へのエキシマ紫外光照射の影響,藤本 博貴,小林 拓真,染谷 満,岡本 光央,志村 考功,渡部 平司,先進パワー半導体分科会 第9回講演会,2022年12月20日
  • NO 窒化 SiC(1-100) MOS デバイスのリーク伝導機構,鈴木 亜沙人,中沼 貴澄,小林 拓真,染谷 満,岡本 光央,吉越 章隆,志村 考功,渡部 平司,先進パワー半導体分科会 第9回講演会,2022年12月20日
  • 酸素及び水素熱処理によるスパッタ成膜 SiO2/GaN MOS 構造の界面特性及び絶縁性向上,大西 健太郎,小林 拓真,溝端 秀聡,野﨑 幹人,吉越 章隆,志村 考功,渡部 平司,先進パワー半導体分科会 第9回講演会,2022年12月20日
  • Fabrication of Tensile-strained Single-crystalline GeSn Wires on Amorphous Quartz Substrates by Local Liquid-phase Crystallization,T. Shimura,H. Oka,T. Hosoi,Y. Imai,S. Kimura,H. Watanabe,The 8th International Symposium on Advanced Science and Technology of Silicon Materials,2022年11月07日
  • Reliability Issues in Nitrided SiC MOS Devices,Takuma Kobayashi,Takato Nakanuma,Asato Suzuki,Mitsuru Sometani,Mitsuo Okamoto,Akitaka Yoshigoe,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,9th International Symposium on Control of Semiconductor Interfaces (ISCSI-IX),2022年09月07日
  • 電子の水平運動が卓越するブランチングイメージセンサ,志村考功,ゴグエンホアイ,渡部平司,下ノ村和弘,武藤秀樹,江藤剛治,映像情報メディア学会 情報センシング研究会(IST),2022年06月29日
  • Approach to achieving super temporal resolution image sensors beyond 20 picosecond,Takayoshi Shimura,2022 IEEE International Conference on Imaging Systems and Techniques (IST 2022),2022年06月21日
  • Investigation of reliability of NO nitrided SiC(1-100) MOS devices,Takato Nakanuma,Asato Suzuki,Yu Iwakata,Takuma Kobayashi,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,IEEE International Reliability Physics Symposium (IRPS 2022),2022年03月29日
  • Characterization of Electron Traps in Gate Oxide of SiC MOS Capacitors,Yutaka Terao,Takuji Hosoi,Shinya Takashima,Takuma Kobayashi,Takayoshi Shimura,Heiji Watanabe,IEEE International Reliability Physics Symposium (IRPS 2022),2022年03月30日
  • NO窒化処理を施した非基底面SiC MOSデバイスの信頼性,中沼貴澄,小林拓真,染谷満,岡本光央,吉越章隆,細井卓治,志村考功,渡部平司,(一社)電気学会 電子デバイス研究会,2022年03月09日
  • 高速イメージセンサの現状と展望 -ピコ秒を目指して-,江藤剛治,志村考功,下ノ村和弘,渡部平司,(独)日本学術振興会「結晶加工と評価技術」第 145 委員会 第 174回研究会,2022年01月31日
  • NO窒化処理を施した4H-SiC(11-20) MOSデバイスの絶縁性および閾値安定性の評価,中沼 貴澄,岩片 悠,小林 拓真,染谷 満,岡本 光央,吉越 章隆,細井 卓治,志村 考功,渡部 平司,「電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―」 (第27回研究会),2022年01月29日
  • 光吸収層を有する石英基板上GeSn細線のレーザー溶融結晶化,田淵 直人,山口 凌雅,近藤 雅斗,國吉 望月,細井 卓治,小林 拓真,志村 考功,渡部 平司,「電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―」 (第27回研究会),2022年01月28日
  • AlGaNキャップ層によるMgドープp-GaNの活性化抑制と水素脱離過程の制御による特性改善,溝端 秀聡,和田 悠平,野﨑 幹人,細井 卓治,成田 哲生,加地 徹,志村 考功,渡部 平司,「電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―」 (第27回研究会),2022年01月28日
  • 局所液相成長法によって作製した単結晶GeSn細線の受光・発光特性,志村 考功,細井 卓治,小林 拓真,渡部 平司,レーザー学会学術講演会第42回年次大会,2022年01月13日
  • GaN(000-1)面上に形成したSiO2/GaN MOSキャパシタの電気特性評価,冨ヶ原 一樹,和田 悠平,溝端 秀聡,野﨑 幹人,吉越 章隆,細井 卓治,小林 拓真,志村 考功,渡部 平司,先進パワー半導体分科会第8回講演会,2021年12月09日
  • NO-POAを施したSiO2/4H-SiC(1-100)界面の電気特性評価および物理分析,鈴木 亜沙人,中沼 貴澄,岩片 悠,小林 拓真,染谷 満,岡本 光央,細井 卓治,志村 考功,渡部 平司,先進パワー半導体分科会第8回講演会,2021年12月09日
  • SiO2/GaN MOS構造におけるゲート絶縁膜信頼性への堆積後熱処理の効果,見掛 文一郎,溝端 秀聡,野﨑 幹人,小林 拓真,志村 考功,渡部 平司,先進パワー半導体分科会第8回講演会,2021年12月09日
  • エキシマ紫外光照射によるNO窒化SiC MOSデバイスの特性劣化,藤本 博貴,小林 拓真,染谷 満,岡本 光央,細井 卓治,志村 考功,渡部 平司,先進パワー半導体分科会第8回講演会,2021年12月09日
  • 超高圧活性化熱処理を施したMgイオン注入GaNを用いたp型GaN MOSデバイスの電気特性評価,溝端 秀聡,和田 悠平,野﨑 幹人,小林 拓真,細井 卓治,加地 徹,志村 考功,渡部 平司,先進パワー半導体分科会第8回講演会,2021年12月10日
  • On the way to the super temporal resolution image sensor of visible light,T. Shimura,N. H. Ngo,A. Q. Nguyen,F. M. Bufler,H. Watanabe,P. Matagne,E. Charbon,T. G. Etoh,International Meet & Expo on Laser, Optics and Photonics (OPTICSMEET2021),2021年11月04日
  • 符号化開口を用いた後方散乱X線イメージング,志村 考功,小林 拓真,細井 卓治,渡部 平司,日本光学会年次学術講演会,2021年10月28日
  • Toward Super Temporal Resolution by Controlling Horizontal Motions of Electrons,T. Goji Etoh,Nguyen Hoai Ngo,Kazuhiro Shimonomura,Taeko Ando,Takayoshi Shimura,Heiji Watanabe,Hideki Mutoh,Yoshinari Kamakura,Edoardo Charbon,2021 International Image Sensor Workshop (IISW),2021年09月23日
  • Dynamic Crosstalk Analysis for Branching Image Sensors,Nguyen H. Ngo, Takayoshi Shimura, Taeko Ando, Heiji Watanabe, Kazuhiro Shimonomura, Yoshinari Kamakura, Hideki Mutoh, T. Goji Etoh,2021 International Image Sensor Workshop (IISW),2021年09月22日
  • Comprehensive Physical and Electrical Characterizations of NO Nitrided SiO2/4H-SiC(11-20) Interfaces,Takato Nakanuma,Yuu Iwakata,Takuji Hosoi,Takuma Kobayashi,Mitsuru Sometani,Mitsuo Okamoto,Takayoshi Shimura,Heiji Watanabe,2021 International Conference on Solid State Devices and Materials (SSDM 2021),2021年09月08日
  • Fixed Charge Generation in SiO2/GaN MOS Structures by Forming Gas Annealing and its Suppression by Controlling Ga-oxide Interlayer Growth,Hidetoshi Mizobata,Mikito Nozaki,Takuma Kobayashi,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,2021 International Conference on Solid State Devices and Materials (SSDM 2021),2021年09月09日
  • A Branching Image Sensor for Sub-nanosecond Burst Imaging,映像情報メディア学会 情報センシング研究会(IST),2021年06月30日
  • Super-temporal-resolution Image Sensor -- Beyond the Theoretical Highest Frame Rate of Silicon Image Sensors --,映像情報メディア学会 情報センシング研究会(IST),2021年06月30日
  • Optoelectronic Integration Based on High-quality GeSn Grown by Liquid Phase Crystallization,Heiji Watanabe,Hiroshi Oka,Takuji Hosoi,Takayoshi Shimura,International Conference on Processing & Manufacturing of Advanced Materials (Thermec’2021),2021年06月04日
  • Control of SiO2/SiC Interface for SiC-based Power MOSFET,Takuji Hosoi,Takayoshi Shimura,Heiji Watanabe,International Conference on Processing & Manufacturing of Advanced Materials (Thermec’2021),2021年06月01日
  • CO2熱処理によるSiC MOSFETの信頼性向上,細井卓治,志村考功,渡部平司,電子情報通信学会, シリコン材料・デバイス研究会(SDM),2022年10月19日

報道

  • GaN製パワー半導体 パナソニックが基地局向け,日本経済新聞(電子版),2018年02月
  • 5G基地局向け半導体 小型で大電流耐える,日経産業新聞,2018年02月
  • 大電力電源機器を高速・小型化 絶縁ゲート型GaNパワートランジスタ,日刊工業新聞,2018年02月
  • 連続安定駆動が可能 MIS型GaNパワーTR,電波新聞,2018年02月
  • 大阪大学ら SiC絶縁耐圧1.5倍 AlON膜で信頼性向上,半導体産業新聞,2012年12月
  • 漏れ電流1ケタ低減 AlON採用のSiCトランジスタ 阪大など開発 長期信頼性も向上,化学工業日報,2012年12月
  • 阪大/京大/ローム/東京エレ ゲート絶縁膜にAlON採用 SiCパワーMOSFET開発 13年度にも実用化めざす,電波新聞,2012年12月
  • 電力損失を大幅低減 パワー半導体 アルミ酸化物使う 阪大など,日経産業新聞,2012年12月
  • SiC MOSFET 高誘電率ゲート絶縁膜採用 阪大など 漏れ電流9割低減,日刊工業新聞,2012年12月

学術貢献

  • The Forum on the Science and Technology of Silicon Materials 2018,The 145th Committee on Processing and Characterization of Crystals of Japan Society for the Promotion of Science (JSPS),2018年11月 ~
  • Third International Symposium on Atomically Controlled Fabrication Technology,The Global COE Program "Atomically Controlled Fabrication Technology",2010年11月 ~
  • Second International Symposium on Atomically Controlled Fabrication Technology,The GCOE Program "Atomically Controlled Fabrication Technology",2009年11月 ~
  • First International Symposium on Atomically Controlled Fabrication Technology -Surface and Thin Film Processing -,The Global COE Program "Atomically Controlled Fabrication Technology",2009年02月 ~
  • 放射光を用いた結晶評価の新展開 ~X線トポグラフィーによる半導体評価を中心として~,2008年11月 ~
  • The 4th International Symposium on Advanced Science and Technology of Silicon Materials,The 145th Committee on Processing and Characterization of Crystals of Japan Socety for the Promotion of Science (JSPS),2004年11月 ~